TW201603297A - 利用超晶格磊晶層對磊晶剝離薄膜裝置行非破壞性晶圓回收 - Google Patents

利用超晶格磊晶層對磊晶剝離薄膜裝置行非破壞性晶圓回收 Download PDF

Info

Publication number
TW201603297A
TW201603297A TW104101367A TW104101367A TW201603297A TW 201603297 A TW201603297 A TW 201603297A TW 104101367 A TW104101367 A TW 104101367A TW 104101367 A TW104101367 A TW 104101367A TW 201603297 A TW201603297 A TW 201603297A
Authority
TW
Taiwan
Prior art keywords
layer
superlattice
protective
protective layer
growth
Prior art date
Application number
TW104101367A
Other languages
English (en)
Other versions
TWI681565B (zh
Inventor
史蒂芬R 佛瑞斯特
李圭相
范德久
Original Assignee
美國密西根州立大學
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美國密西根州立大學 filed Critical 美國密西根州立大學
Publication of TW201603297A publication Critical patent/TW201603297A/zh
Application granted granted Critical
Publication of TWI681565B publication Critical patent/TWI681565B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • H01L31/1896Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates for thin-film semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0256Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by the material
    • H01L31/0264Inorganic materials
    • H01L31/0304Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L31/03046Inorganic materials including, apart from doping materials or other impurities, only AIIIBV compounds including ternary or quaternary compounds, e.g. GaAlAs, InGaAs, InGaAsP
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/0352Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their shape or by the shapes, relative sizes or disposition of the semiconductor regions
    • H01L31/035236Superlattices; Multiple quantum well structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0687Multiple junction or tandem solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/068Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells
    • H01L31/0693Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN homojunction type, e.g. bulk silicon PN homojunction solar cells or thin film polycrystalline silicon PN homojunction solar cells the devices including, apart from doping material or other impurities, only AIIIBV compounds, e.g. GaAs or InP solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/072Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type
    • H01L31/0735Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PN heterojunction type comprising only AIIIBV compound semiconductors, e.g. GaAs/AlGaAs or InP/GaInAs solar cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/184Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP
    • H01L31/1844Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof the active layers comprising only AIIIBV compounds, e.g. GaAs, InP comprising ternary or quaternary compounds, e.g. Ga Al As, In Ga As P
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1892Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof methods involving the use of temporary, removable substrates
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/544Solar cells from Group III-V materials
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

本發明係關於利用磊晶剝離(ELO)製造薄膜電子及光電裝置(諸如可撓性光伏裝置)之方法及生長結構。詳言之,本文揭示在ELO期間保持晶圓表面之完整性且增加晶圓可用於再生長之次數的晶圓保護方案。該等晶圓保護方案使用包括至少一個超晶格層之生長結構。

Description

利用超晶格磊晶層對磊晶剝離薄膜裝置行非破壞性晶圓回收 相關申請案之交叉參考
本申請案主張2014年1月15日申請之美國臨時申請案第61/927,928號之優先權權益,該臨時申請案以全文引用之方式併入本文中。
關於聯邦政府贊助研究之聲明
本發明在美國政府支援下依據由陸軍研究辦公室(the Army Research Office)授予的編號W911NF-13-1-0485及W911NF-08-0004進行。政府具有本發明中之某些權利。
聯合研究協議
本發明之標的物係由、代表一或多個以下聯合之大學公司研究協議當事人及/或與該等當事人一起完成的:密歇根大學董事會(Regents of the University of Michigan)及NanoFlex電力公司(NanoFlex Power Corporation)。該協議在本發明之標的物起草之日及之前有效且該協議係作為在該協議範疇內所採取之活動的結果而建立的。
本發明大體上係關於使用磊晶剝離(ELO)製造薄膜電子及光電裝置(諸如可撓性光伏裝置)之方法及生長結構。詳言之,本發明係關於用於ELO製程之非破壞性晶圓回收。
諸如基於單晶半導體之裝置之薄膜技術由於其可撓性、輕重量 及高效能特性而在電子領域中係合乎需要的。ELO係薄膜裝置區域可自生長基板或晶圓「剝離」並傳送至主體基板之一種技術。藉由選擇性地蝕刻犧牲層而使該裝置區域與晶圓分離。該ELO製程之關鍵優點為在剝離裝置區域後再使用晶圓之可能性,此舉可能藉由最小化昂貴晶圓之消耗而極大地減少製造成本。
然而,習知ELO製程導致顯著的晶圓表面粗糙化及污染物聚積。為消除該等缺陷以為後續磊晶生長作準備,通常使用拋光蝕刻製程。然而,大體而言,此製程並不提供高品質再生長界面,且因此在ELO之後在化學拋光之表面上生長的裝置層導致隨後製造的裝置之效能急劇降低。Bauhuis,G.J.等人,「Wafer reuse for repated growth of III-V solar cells」Prof.Photovolt.18,155-159(2010)。化學-機械晶圓再拋光係用於解決此問題,但此類製程自晶圓之頂表面消耗數十微米之材料,進而限制晶圓再使用之可能次數。
本文揭示使用至少一個超晶格層在ELO期間保持晶圓表面之完整性且增加晶圓再使用次數(例如,至少50次再使用)的晶圓保護方案,該超晶格層使位錯及其他缺陷朝向晶圓邊緣彎曲(bending)。
在本發明之一個實施例中,用於ELO之生長結構包含生長基板、在該生長基板之上的保護層、在該保護層之上的犧牲層,及在該犧牲層之上的磊晶層,其中該保護層包含至少一個超晶格層。
在另一個實施例中,揭示一種製造用於ELO之一生長結構的方法,其包含:在生長基板上方沈積保護層;在保護層上方沈積犧牲層;及在犧牲層上方沈積磊晶層,其中該保護層包含至少一個超晶格層。
在另一實施例中,揭示一種回收生長基板之方法,其包含:提供生長結構,該生長結構包含生長基板、在生長基板之上的保護層、在保護層之上的犧牲層,及在犧牲層之上的磊晶層,其中該保護層包 含至少一個超晶格層;藉由蝕刻該犧牲層而釋放該磊晶層;及藉由蝕刻該保護層而移除該保護層。
在另一實施例中,揭示一種在ELO期間保持裝置區域之完整性之方法,其包含:提供生長結構,該生長結構包含生長基板、在生長基板之上的犧牲層、在犧牲層之上的保護層,及在保護層之上的磊晶層,其中該保護層包含至少一個超晶格層;藉由蝕刻犧牲層而釋放磊晶層;及藉由蝕刻保護層而自磊晶層移除保護層。在一些實施例中,如本文中所描述,該保護層進一步包含一或多個保護性層。
在另一實施例中,揭示一種回收生長基板之方法,其包含:在生長基板上方沈積緩衝層;在緩衝層上方沈積保護層;在保護層上方沈積犧牲層;在犧牲層上方沈積磊晶層;藉由蝕刻犧牲層而釋放磊晶層;藉由蝕刻保護層而移除保護層;執行以上步驟之至少一次反覆;及拋光生長基板以移除緩衝層之聚積之一些或全部。
本發明之前述及其他特徵將自結合所附圖式之例示性實施例之以下詳細描述而更容易顯而易見。將注意,為方便起見,所有結構圖解展示相對於寬度誇示之高度尺寸。
隨附圖式併入本說明書中且構成本說明書之一部分。
如本文所使用,術語「III-V材料」可用於指含有來自週期表之IIIA族及VA族之元素的複晶體。更具體而言,術語「III-V材料」在本文中可用於指為鎵(Ga)、銦(In)及鋁(Al)之族群與砷(As)、磷(P)、氮(N)及銻(Sb)之族群的組合的化合物。
應注意,III-V化合物本文中係以縮寫格式命名的。兩組分材料被認為係III族化合物與V族化合物之莫耳比為近似1:1。在三個或三個以上組分之系統中(例如,InGaAlAsP),III族物質(亦即,In、Ga及Al)之總和近似為1,且V族組分(亦即,As及P)之總和近似為1,且由此III族與V族之比率近似為一。
假定III-V化合物之命名是按達成晶格匹配或晶格失配(應變)(如自上下文可推斷)所需的化學計量比率進行的。另外,名稱可在某種程度上經換位。舉例而言,AlGaAs及GaAlAs為相同材料。
如本文中所使用,術語「層」係指主要維度為X-Y(亦即,沿其長度及寬度)之結構或裝置之部件或組件。應理解,術語「層」不必限制於材料之單層或片。一層可包含數個材料片之層合物或組合。
當第一層經描述為安置或沈積在第二層「上方」或「之上」時,第一層經定位為比第二層更遠離基板。第一層可直接安置在第二層上,但除非指定第一層經安置或沈積在第二層「上」或與第二層「實體接觸」,否則第一層與第二層之間可存在其他層。舉例而言, 磊晶層可經描述為安置在犧牲層「上方」或「之上」,即使該兩者之間可存在各種層亦如此。類似地,保護層可經描述為安置在生長基板「上方」或「之上」,即使該兩者之間可存在各種層亦如此。類似地,當第一層經描述為安置或沈積在第二層與第三層「之間」時,除非指定第一層經安置或沈積在第二及/或第三層「上」或與第二及/或第三層「實體接觸」,否則第一層與第二層,及/或第一層與第三層之間可存在其他層。
「超晶格層」經定義為一連串堆疊層,其中包括至少兩個不相同的層之層序列在垂直於層之間的界面之方向上(亦即,例如在層之生長方向上)重複。在此意義上,如本文所使用,「超晶格層」例如藉由半導體材料之一連串交替堆疊之不相同的層構成,其中「交替」應理解為意謂兩個或兩個以上的層輪流地彼此相繼。此類超晶格之實例由以下層序列提供:「ab | ab | ab…」、「abc | abc | abc |…」,及「ababc | ababc | ababc…」,其中a、b及c表示半導體材料(諸如,III-V材料)之不相同的層。每一可重複單元(例如,使用以上第一實例之「ab」)在本文中被稱作「週期」。因此,本文中所描述之超晶格層可包含大量週期。
如本文中所使用,術語「晶圓」及「生長基板」可互換使用。
在本發明之一個實施例中,用於磊晶剝離之生長結構包含生長基板、在生長基板之上的保護層、在保護層之上的犧牲層,及在犧牲層之上的磊晶層,其中該保護層包含至少一個超晶格層。
圖1說明一例示性生長結構,其具有生長基板、在生長基板之上的保護層、在保護層之上的犧牲層及在犧牲層之上的磊晶層。
該生長基板為具有至少一個生長表面之基板。其可包含任何數目之材料,包括單晶晶圓材料。在一些實施例中,該生長基板為單晶晶圓。在一些實施例中,生長基板可包含選自Ge、Si、GaAs、InP、GaP、 GaN、GaSb、AlN、SiC、CdTe、藍寶石及其組合之材料。在某些實施例中,生長基板包含GaAs。在其他實施例中,生長基板包含InP。在一些實施例中,包含生長基板之材料可經摻雜。合適的摻雜劑可包括(但不限於)Zn、Mg(及其他IIA族化合物)、Cd、Hg、C、Si、Ge、Sn、O、S、Se、Te、Fe及Cr。舉例而言,該生長基板可包含摻雜有Zn及/或S之InP。除非另有指示,否則應理解,對包含(例如)InP之層之引用涵蓋呈其未經摻雜及經摻雜(例如,p-InP、n-InP)形式之InP。合適的摻雜劑選擇可視(例如)基板之半絕緣性質或該基板中存在之任何缺陷而定。
保護層在ELO期間保護生長基板。在一些實施例中,保護層經直接安置於生長基板之生長表面上。其可包含至少一個超晶格層,圖2中展示使用材料AlGaAs及GaAs的該超晶格層之一實例。在一些實施例中,超晶格層包含III-V材料,諸如,基於砷化物或磷化物之材料。在一些實施例中,超晶格層包含II-VI材料。在某些實施例中,III-V材料選自GaAs、AlInP、GaInP、AlGaInP、AlGaAs、GaPSb、AlPSb、InP、InGaAs、InAlAs、InAs、InSb、GaP、AlP、GaSb、AlSb、GaAsSb,AlAsSb。超晶格之例示性材料組合包括(但不限於)AlGaAs/GaAs、AlGaAs/AlGaAs(具有不同之Al組成百分比)、GaAsP/GaAs、InGaAs/GaAs、InGaP/GaAs、AlInP/InP、InGaAs/InP、InAlAs/InP、InAlAs/InGaAs、InAlAs/AlAs、InAs/InAsSb,及AlAs/GaAs/AlGaAs。該超晶格層可為晶格匹配或應變的。
在一些實施例中,超晶格範圍中之每一個別層具有在自0.5nm至300nm(諸如,自1nm至100nm、自1nm至50nm,或自2nm至25nm)之範圍中的厚度。在一些實施例中,該超晶格層具有在自2nm至2μm之範圍中之總厚度。
在一些實施例中,超晶格層具有至少2個週期、至少5個週期、至少10個週期、至少25個週期、至少40個週期、至少50個週期、至少 100個週期或其間之任何數目個週期。在一些實施例中,超晶格層具有至少5個但不超過60個週期。在一些實施例中,超晶格層具有至少25個但不超過60個週期。
保護層可進一步包含一或多個保護性層。在一些實施例中,保護層進一步包含一個保護性層。在其他實施例中,保護層進一步包含兩個保護性層。在其他實施例中,保護層進一步包含三個或三個以上保護性層。該(該等)保護性層可經定位於生長基板與超晶格層之間(圖3A圖3B中之例示性方案)、超晶格層與犧牲層之間(圖4A圖4B中之例示性方案),或其組合(圖5A圖5B中之例示性方案)。在一些實施例中,該一或多個保護性層獨立地選自III-V材料,諸如基於砷化物及/或磷化物之材料。保護性層可為晶格匹配或應變的。至少一個保護性層可為晶格匹配的,而至少另一保護性層可經應變。經應變層之厚度應比其臨界厚度薄。
用於一或多個保護性層之合適的III-V材料之實例包括(但不限於)AlInP、GaInP、AlGaAs、GaPSb、AlPSb、InP、InGaAs、InAs、InSb、GaP、AlP、GaSb、AlSb、InAlAs、GaAsSb、AlAsSb及GaAs。 在一些實施例中,當生長基板為GaAs時,一或多個保護性層係選自晶格匹配之AlInP、GaInP、AlGaAs、GaPSb、AlPSb及經應變之InP、InGaAs、AlInP、GaInP、InAs、InSb、GaP、AlP、GaSb、AlSb。在一些實施例中,當生長基板為InP時,一或多個保護性層係選自晶格匹配之InGaAs、InAlAs、GaAsSb、AlAsSb及經應變之InGaAs、InAlAs、GaAsSb、AlAsSb、InAs、GaSb、AlSb、GaAs、GaP及AlP。
美國專利第8,378,385號及美國專利公開案第2013/0043214號以引用之方式併入本文中,以參考其對保護性層方案之揭示內容。然而,不同於彼等揭示內容,本發明包括一超晶格層,如本文中所描述。因此,應理解,當前描述之超晶格層可經添加至美國專利第8,378,385號 及美國專利公開案第2013/0043214號中所揭示的保護性層方案,或可替換現有保護性層中之至少一者。
在本發明之某些實施例中,生長基板包含GaAs,且超晶格層係選自以下材料組合:AlGaAs/GaAs、GaAsP/GaAs、InGaAs/GaAs、AlGaAs/AlGaAs(具有不同Al組成百分比)、InGaP/InAlGaP、InAlGaP/GaAs,及InGaP/GaAs。實例展示於圖3B圖4B圖5B中。
在某些實施例中,超晶格層包含至少2個週期、至少5個週期、至少10個週期、至少25個週期、至少40個週期、至少50個週期、至少100個週期或其間之任何數目個週期之AlGaAs/GaAs。在另外的該等實施例中,生長基板為GaAs。在某些實施例中,在一或多個AlGaAs層中之Al組成或在某些實施例中在每一AlGaAs層中之Al組成之範圍為約1%至約100%,亦即,約Al0.01Ga0.99As/GaAs至約Al0.99Ga0.01As/GaAs,諸如約Al0.10Ga0.90As/GaAs至約Al0.80Ga0.20As/GaAs、約Al0.15Ga0.85As/GaAs至約Al0.60Ga0.40As/GaAs,或約Al0.20Ga0.80As/GaAs至約Al0.40Ga0.60As/GaAs。在某些實施例中,超晶格包含至少5個但不超過60個週期之Al0.3Ga0.7As/GaAs。
在某些實施例中,生長基板包含InP,且超晶格層係選自以下材料組合:InGaAs/InP、AlInP/InP、InAlAs/InP、InAlAs/AlAs。實例展示於圖6中。
本文中所描述之該至少一個超晶格層及該(該等)保護性層在ELO期間保護生長基板。最靠近生長基板定位之保護性層相對於生長基板應具有高蝕刻選擇率,以使得最靠近生長基板之保護性層可經由突然在生長基板上終止或突然在生長基板緩衝層(若生長基板具有緩衝層)上終止之蝕刻劑移除。在超晶格層經定位為比一或多個保護性層更接近生長基板之實施例中,此原理同樣適用於超晶格層。
如本文中所使用,術語「蝕刻選擇率」係指特定蝕刻劑移除特 定材料之速率(相較於蝕刻另一材料之速率)。X及Y之蝕刻選擇率經量化為特定蝕刻劑對X之蝕刻速率與對Y之蝕刻速率之比率。因此,如本文中所使用,「高度選擇性的」係指其中一種材料被快速蝕刻而另一種材料被極緩慢蝕刻或完全不被蝕刻之蝕刻選擇率,諸如,大於10:1、大於102:1、大於103:1、104:1、大於105:1、大於106:1,或甚至大於107:1。
例示性保護層方案經展示於圖3B中,其中生長基板包含GaAs,且保護層包含AlGaAs/GaAs超晶格層及InGaP保護性層。在執行ELO之後,可藉由蝕刻移除超晶格層,之後經由蝕刻移除相對於GaAs生長基板具有高蝕刻選擇率之InGaP保護性層。大體而言,超晶格層被一次性全部蝕刻,但有可能逐層蝕刻。在圖3B中所展示之例示性保護層方案中,可利用以磷酸為主之蝕刻劑蝕刻GaAs/AlGaAs超晶格層。
超晶格層具有使位錯及其他缺陷朝向晶圓邊緣彎曲之優點,因此在保護層蝕刻製程期間將該等位錯及缺陷連同超晶格層一起移除。使用上文剛敍述之實例且不受任何特定理論束縛,位錯及其他缺陷朝向晶圓邊緣彎曲係因為AlGaAs之用於位錯穿透之臨界應力大於GaAs之臨界應力,使得AlGaAs中幾乎沒有任何位錯穿透。在超晶格結構內,GaAs層經受大於其臨界應力之拉伸應力,而AlGaAs層經受不大於其臨界應力之局部壓縮應力。因此,GaAs中之位錯在超晶格處受阻且沿界面平面彎曲。歸因於由於GaAs中之Al組分之晶體硬化,AlGaAs層中之AlAs含量越大,AlGaAs層越厚,或所使用層之數目越大,位錯密度降低程度就越大。另外,超晶格並不引起結晶品質之劣化且該超晶格中不產生新位錯。
生長結構之犧牲層在ELO期間充當釋放層以用於自生長基板釋放磊晶層。犧牲層可經選擇以相對於磊晶層及/或生長基板具有高蝕刻選擇率,以將在ELO期間損傷磊晶層及/或生長基板之可能性降至最 低或消除。在一些實施例中,犧牲層包含III-V材料。在一些實施例中,III-V材料係選自AlAs、AlInP及AlGaInP。在某些實施例中,犧牲層包含AlAs。在一些實施例中,犧牲層具有在約2nm至約200nm(諸如約4nm至約100nm、約4nm至約80nm或約4nm至約25nm)之範圍中之厚度。
在一些實施例中,犧牲層為超晶格犧牲層。超晶格犧牲層之非限制性實例包括AlAs/AlGaAs、AlAs/GaAs、AlGaAs/GaAs,及InAlAs/AlAs。在某些實施例中,生長基板包含GaAs且超晶格犧牲層包含AlAs/AlGaAs、AlAs/GaAs,或AlGaAs/GaAs。在某些實施例中,生長基板包含InP且超晶格犧牲層包含InAlAs/AlAs。
生長結構之磊晶層係指需要自生長基板「剝離」之任何數目的層。例如,磊晶層可包含用於製造電子或光電裝置之任何數目的主動半導體層。由此,磊晶層有時被稱作「裝置區域」。磊晶層可包含用於製造包括(但不限於)光伏裝置、光電二極體、發光二極體、雷射及場效電晶體(諸如金屬半導體場效電晶體及高電子遷移率電晶體)之裝置的層。在一些實施例中,磊晶層包含至少一種III-V材料。
在本發明之另一態樣中,生長結構包含在犧牲層與磊晶層之間的保護層,如圖11中所展示。該保護層類似於生長基板保護層,不同之處在於其用以在ELO期間保護磊晶層(亦即,裝置區域)而非生長基板。生長結構可包含在生長基板與犧牲層之間的保護層(第一保護層)及在犧牲層與磊晶層之間的保護層(第二保護層)(圖12)。第二保護層可包含如上文所描述之超晶格層、如上文所描述之一或多個保護性層,或其組合。因此,除了應理解第二保護層可能需要反向定向之外,針對第一保護層描述之實施例亦適合於第二保護層。
舉例而言,在圖3B中,將InGaP保護性層沈積於GaAs生長基板上方,接著沈積AlGaAs/GaAs超晶格層,該兩層構成第一保護層。在 13中,例如,首先將AlGaAs/GaAs超晶格層沈積於犧牲層上方,接著沈積InGaP保護性層,該兩層構成第二保護層且在ELO期間保護GaAs裝置層。在ELO之後,可如本文中針對移除第一保護層所描述,自磊晶層蝕刻掉第二保護層。亦應理解,除了是關於磊晶層而不是關於生長基板之外,本文中所描述之關於生長基板材料及用於第一保護層之適當材料之選擇的考慮因素適用於第二保護層。舉例而言,在對圖13中之結構執行ELO之後,可利用以磷酸為主之蝕刻劑移除AlGaAs/GaAs超晶格層,直至蝕刻在InGaP保護性層處終止為止。隨後可經由在稀釋HCl(HCl:H2O(1:1))中蝕刻而移除InGaP保護性層,稀釋HCl提供相對於GaAs磊晶層之完全蝕刻選擇率。
圖7中所展示,生長結構可進一步包含緩衝層。緩衝層可定位於生長基板與保護層之間。在一些實施例中,緩衝層經直接安置於生長基板之生長表面上。緩衝層提供用於磊晶生長之高品質界面,且不同於保護層,不在每一次再生長之後自生長基板移除該緩衝層。在一些實施例中,緩衝層及生長基板可包含相同材料,諸如GaAs。在一些實施例中,緩衝層包含III-V材料。在一些實施例中,緩衝層具有在100nm至2μm之範圍中之厚度。
在一些實施例中,緩衝層為超晶格緩衝層。圖8提供晶圓保護方案之一實例,在該晶圓保護方案中,保護層包含超晶格層且緩衝層為超晶格緩衝層。在一些實施例中,超晶格緩衝層包含III-V材料,諸如基於砷化物或磷化物之材料。在一些實施例中,超晶格緩衝層及生長基板包含相同材料(例如,GaAs生長基板及AlGaAs/GaAs超晶格緩衝層)。
在一些實施例中,超晶格緩衝層中之每一個別層具有在0.5nm至300nm(諸如,1nm至100nm、1nm至50nm,或2nm至25nm)之範圍中的厚度。在一些實施例中,超晶格緩衝層具有在2nm至2μm之範 圍中之總厚度。
在一些實施例中,超晶格緩衝層具有至少2個週期、至少5個週期、至少10個週期、至少25個週期、至少40個週期、至少50個週期、至少100個週期或其間之任何數目個週期。在一些實施例中,超晶格緩衝層具有至少5個但不超過60個週期。在一些實施例中,超晶格緩衝層具有至少25個但不超過60個週期。
在某些實施例中,超晶格緩衝層包含至少2個週期、至少5個週期、至少10個週期、至少25個週期、至少40個週期、至少50個週期、至少100個週期或其間之任何數目個週期的AlGaAs/GaAs。在另外的該等實施例中,生長基板包含GaAs。在某些實施例中,超晶格緩衝層中之每一AlGaAs層具有4nm或更小之厚度。在某些實施例中,在一或多個AlGaAs層中之Al組成或在某些實施例中在每一AlGaAs層中之Al組成之範圍為約1%至約40%,亦即,約Al0.01Ga0.99As/GaAs至約Al0.40Ga0.60As/GaAs。在某些實施例中,超晶格緩衝層包含至少5個但不超過60個週期之Al0.3Ga0.7As/GaAs。
生長結構可進一步包含金屬接點及主體基板。圖9A提供根據本發明之一例示性生長結構,其中該生長結構含有GaAs生長基板、GaAs緩衝層、包含InGaP保護性層及AlGaAs/GaAs超晶格層之保護層、AlAs犧牲層、形成太陽能電池裝置區域之磊晶層、Au金屬接點,及Kapton主體基板。如圖9B中所展示,ELO製程自生長基板釋放磊晶層,留下安置在主體基板上之磊晶層及使生長基板可用於回收。詳言之,可經由各別蝕刻而移除超晶格層及保護性層,留下用於再生長之「準備用於磊晶(epi-ready)」表面。
亦揭示了一種製造如本文所描述之生長結構之方法。製造用於ELO之生長結構的方法包含:在生長基板上方沈積保護層;在保護層上方沈積犧牲層;及在犧牲層上方沈積磊晶層,其中該保護層包含至 少一個超晶格層。如本文中所論述,保護層可進一步包含一或多個保護性層。在一些實施例中,該方法進一步包含:在沈積保護層之前,在生長基板上方沈積緩衝層。如本文中所論述,在某些實施例中,緩衝層為超晶格緩衝層。
該方法可進一步包含:在沈積磊晶層之前在犧牲層上方沈積第二保護層,以使得該第二保護層經安置在犧牲層與磊晶層之間。該第二保護層可包含如本文中所描述之至少一個超晶格層、如本文中所描述之一或多個保護性層,或其組合。
該方法可進一步包含在磊晶層上方沈積金屬接點(例如,金)。在另外的實施例中,該方法進一步包含將塗佈有金屬之主體基板冷焊結合至金屬接點。在某些實施例中,主體基板為金屬箔或聚合物薄膜。在某些實施例中,主體基板為可撓性塑膠基板,諸如Kapton®薄片。
用於製備生長結構之合適的沈積技術包括(但不限於):氣體源分子束磊晶法(GSMBE)、金屬有機化學氣相沈積(MOCVD)、氫化物氣相磊晶法(HVPE)、固態源分子束磊晶法(SSMBE)及化學束磊晶法。
本發明之另一態樣係關於回收本文中所描述之生長結構之生長基板的方法。詳言之,揭示一種回收生長基板之方法,其包含:提供生長結構,該生長結構包含生長基板、在生長基板之上的保護層、在保護層之上的犧牲層及在犧牲層之上的磊晶層,其中該保護層包含至少一個超晶格層;藉由蝕刻該犧牲層而釋放該磊晶層;及藉由蝕刻該保護層而移除該保護層。移除保護層提供高品質表面以用於再生長。在一些實施例中,如本文中所描述,保護層進一步包含一或多個保護性層。在一些實施例中,如本文中所論述,生長結構進一步包含在生長基板與保護層之間的緩衝層。在某些實施例中,緩衝層為超晶格緩衝層,如本文中所描述。
本發明之另一態樣關於一種在ELO期間保持裝置區域之完整性之 方法,其包含:提供生長結構,該生長結構包含生長基板、在生長基板之上的犧牲層、在犧牲層之上的保護層,及在保護層之上的磊晶層,其中該保護層包含至少一個超晶格層;藉由蝕刻該犧牲層而釋放該磊晶層;及藉由蝕刻該保護層而自該磊晶層移除該保護層。在一些實施例中,如本文中所描述,保護層進一步包含一或多個保護性層。
蝕刻可根據此項技術中已知之技術執行。實例包括(但不限於)濕式蝕刻、氣相蝕刻或乾式蝕刻(例如,電漿蝕刻)。合適的蝕刻劑包括(但不限於)HF、H3PO4、HCl、H2SO4、H2O2、HNO3、C6H8O7及其組合。舉例而言,合適的蝕刻劑組合可包括H3PO4:HCl、H2SO4:H2O2:H2O及HF:H2O2:H2O。
犧牲層可使用經選擇以選擇性蝕刻犧牲層之蝕刻劑來蝕刻。舉例而言,犧牲層相對於磊晶層及/或生長基板之蝕刻選擇率可為至少約102:1,諸如至少約103:1、104:1、105:1、106:1或107:1。在一些實施例中,利用濕式蝕刻劑蝕刻犧牲層。在某些實施例中,濕式蝕刻劑為HF。在某些實施例中,濕式蝕刻劑為HF,且犧牲層包含AlAs。一種非限制性技術為將生長結構浸漬或浸沒於蝕刻劑中。
藉由蝕刻釋放犧牲層之步驟可與其他技術(例如,剝落)組合。PCT專利申請案第PCT/US14/52642號以引用之方式併入本文中,以參考其經由蝕刻及剝落之組合釋放磊晶層之揭示內容。
在保護層僅包含超晶格層之實施例中,保護層係藉由蝕刻超晶格層而移除。用於超晶格層之材料及蝕刻劑可經選擇以使得超晶格層相對於生長基板(及/或根據具體情況相對於生長基板緩衝層)具有高蝕刻選擇率。因此,蝕刻劑應在生長基板之表面處(或根據具體情況在緩衝層之表面處)乾淨及平滑地終止。除了是關於磊晶層而非關於生長基板之外,此等考慮因素適用於磊晶層保護層。
在一些實施例中,保護層進一步包含如本文中所描述之一或多 個保護性層。在此等實施例中,移除保護層需要蝕刻每一保護性層及超晶格層,移除次序視層組態而變化。最靠近生長基板(或根據具體情況最靠近緩衝層)之保護性層應相對於生長基板(及/或根據具體情況相對於緩衝層)具有高蝕刻選擇率。若超晶格層比該(該等)保護性層更靠近生長基板(或根據具體情況更靠近緩衝層)(例如,圖4B),則超晶格層應相對於生長基板(或根據具體情況相對於緩衝層)具有高蝕刻選擇率。在一些實施例中,該(該等)保護性層及超晶格層係各自使用合適的蝕刻劑(諸如上文所描述之彼等蝕刻劑)而獨立地移除。除了是關於磊晶層而非關於生長基板之外,此等考慮因素適用於磊晶層保護層。
添加一或多個保護性層產生用於在自生長基板蝕刻掉各種層之後提供平滑再生長表面及用於選擇在犧牲層之下(或根據具體情況在犧牲層之上)生長之合適的材料的更多選項,從而允許使用最佳可用蝕刻劑-材料組合以用於在ELO期間進行保護。
舉例而言,使用圖3B中所展示之保護方案,AlGaAs/GaAs超晶格層可利用以磷酸為主之蝕刻劑(H3PO4:H2O2:H2O(3:1:25))進行濕式蝕刻,直至蝕刻在InGaP保護性層處終止為止。隨後可經由在稀釋HCl(HCl:H2O(1:1))中蝕刻而移除InGaP保護性層,該稀釋HCl提供相對於GaAs生長基板之完全蝕刻選擇率。生長基板之此回收提供「準備用於磊晶」的高品質再生長表面。
在執行ELO及再生長之多次反覆後,若使用緩衝層,則該緩衝層之厚度將增大。舉例而言,在大約20次生長之後,聚積之緩衝層可具有大約10μm之總厚度。例如,可執行化學機械拋光以拋光掉聚積之緩衝層,從而在幾乎不造成晶圓厚度損失之情況下消除可能的殘餘粗糙度及缺陷。該結果為用於晶圓再使用之準備用於磊晶的表面。圖10提供此製程之流程圖。
因此,揭示一種回收生長基板之方法,其包含:在生長基板上方沈積緩衝層;在緩衝層上方沈積保護層;在保護層上方沈積犧牲層;在犧牲層上方沈積磊晶層;藉由蝕刻犧牲層而釋放磊晶層;藉由蝕刻保護層而移除保護層;執行該等以上步驟之至少一次反覆;及拋光生長基板以移除緩衝層之聚積之一些或全部。在一些實施例中,在至少5次反覆(諸如,至少10次反覆、至少20次反覆、至少30次反覆、至少40次反覆,或至少50次反覆)之後執行拋光步驟。在一些實施例中,保護層包含如本文中所描述之超晶格層(且亦可包含本文中所描述之一或多個保護性層)。在一些實施例中,緩衝層為超晶格緩衝層,如本文中所描述。
本發明亦涵蓋其中緩衝層為超晶格緩衝層且保護層包含如本文中所描述之一或多個保護性層但不包含超晶格層之實施例。因此,揭示一種用於ELO之生長結構,其包含生長基板、在生長基板之上的超晶格緩衝層、在超晶格緩衝層之上的保護層、在保護層之上的犧牲層,及在犧牲層之上的磊晶層,其中該保護層包含一或多個保護性層。
類似地,揭示一種製造用於ELO之生長結構之方法,其包含:在生長基板上方沈積超晶格緩衝層;在超晶格緩衝層上方沈積保護層;在保護層上方沈積犧牲層;及在犧牲層上方沈積磊晶層,其中該保護層包含一或多個保護性層。
類似地揭示一種回收生長基板之方法,其包含:提供生長結構,該生長結構包含一生長基板、在生長基板之上的超晶格緩衝層、在超晶格緩衝層之上的保護層、在保護層之上的犧牲層,及在犧牲層之上的磊晶層,其中該保護層包含一或多個保護性層;藉由蝕刻該犧牲層而釋放該磊晶層;及藉由蝕刻該保護層而移除該保護層。
本文中所描述之裝置及方法之其他實施例將根據對本說明書之 考慮及實踐而對熟習此項技術者顯而易見。意欲將本說明書視為僅為例示性的,所描述之裝置及方法之真實範疇由申請專利範圍指示。
圖1展示根據本發明之一例示性生長結構。
圖2展示根據本發明之超晶格層之非限制性實例。
圖3A圖3B展示晶圓保護方案之實例。
圖4A圖4B展示晶圓保護方案之實例。
圖5A圖5B展示晶圓保護方案之實例。
圖6展示晶圓保護方案之實例。
圖7展示一例示性生長結構。
圖8展示包括超晶格緩衝層之晶圓保護方案之一實例。
圖9A展示一例示性生長結構;圖9B展示在圖9A之生長結構上執行ELO之結果。
圖10展示用於在數次再生長之後拋光掉聚積之緩衝層之流程之實例。
圖11展示根據本發明之一例示性生長結構。
圖12展示根據本發明之一例示性生長結構。
圖13展示具有裝置保護方案之生長結構之實例。

Claims (44)

  1. 一種用於磊晶剝離之生長結構,其包含:一生長基板;在該生長基板之上的一保護層;在該保護層之上的一犧牲層;及在該犧牲層之上的一磊晶層,其中該保護層包含至少一個超晶格層。
  2. 如請求項1之生長結構,其中該至少一個超晶格層包含III-V材料。
  3. 如請求項1之生長結構,其中該生長基板包含GaAs。
  4. 如請求項1之生長結構,其中該生長基板包含InP。
  5. 如請求項3之生長結構,其中該超晶格層之每一週期包含選自AlGaAs/GaAs、GaAsP/GaAs、InGaAs/GaAs、AlGaAs/AlGaAs、InGaP/InAlGaP、InAlGaP/GaAs及InGaP/GaAs之一材料組合。
  6. 如請求項5之生長結構,其中該超晶格含有至少5個但不超過60個週期。
  7. 如請求項4之生長結構,其中該超晶格層之每一週期包含選自InGaAs/InP、InAlP/InP、InAlAs/InP及InAlAs/AlAs之一材料組合。
  8. 如請求項1之生長結構,其中該保護層進一步包含一或多個保護性層。
  9. 如請求項8之生長結構,其中該一或多個保護性層定位於該生長基板與該超晶格層之間。
  10. 如請求項9之生長結構,其中該生長基板包含GaAs,且該一或多個保護性層包含InGaP。
  11. 如請求項10之生長結構,其中該至少一個超晶格層包含至少5個但不超過60個週期之AlGaAs/GaAs。
  12. 如請求項1之生長結構,其進一步包含在該生長基板與該保護層之間的一緩衝層。
  13. 如請求項12之生長結構,其中該緩衝層及該生長基板各自包含GaAs。
  14. 如請求項1之生長結構,其中該緩衝層為一超晶格緩衝層。
  15. 如請求項3之生長結構,其中該緩衝層包含一超晶格緩衝層,且其中該超晶格緩衝層之每一週期包含AlGaAs/GaAs。
  16. 如請求項15之生長結構,其中該超晶格緩衝層中之每一AlGaAs層具有4nm或更小之厚度。
  17. 如請求項15之生長結構,其中該超晶格緩衝層中之每一AlGaAs層具有在約Al0.01Ga0.99As/GaAs至約Al0.40Ga0.60As/GaAs之範圍中之Al組成。
  18. 如請求項1之生長結構,其中該犧牲層包含AlAs。
  19. 如請求項1之生長結構,其中該犧牲層為一超晶格犧牲層。
  20. 如請求項1之生長結構,其中該磊晶層包含用於形成一光伏裝置之主動半導體層。
  21. 如請求項1之生長結構,其進一步包含位於該犧牲層與該磊晶層之間的一第二保護層。
  22. 如請求項21之生長結構,其中該第二保護層包含至少一個超晶格層。
  23. 一種製造用於磊晶剝離之一生長結構的方法,其包含:在一生長基板上方沈積一保護層;在該保護層上方沈積一犧牲層;及在該犧牲層上方沈積一磊晶層,其中該保護層包含至少一個超晶格層。
  24. 如請求項23之方法,其中該保護層進一步包含一或多個保護性層。
  25. 如請求項23之方法,其進一步包含:在沈積該保護層之前,在該生長基板上方沈積一緩衝層。
  26. 如請求項25之方法,其中該緩衝層為一超晶格層。
  27. 如請求項23之方法,其中該生長基板包含GaAs。
  28. 如請求項23之方法,其中該生長基板包含InP。
  29. 如請求項23之方法,其中該超晶格層包含III-V材料。
  30. 如請求項27之方法,其中該超晶格層之每一週期包含AlGaAs/GaAs。
  31. 如請求項23之方法,其進一步包含:在沈積該磊晶層之前在該犧牲層上方沈積一第二保護層,以使得該第二保護層經安置在該犧牲層與該磊晶層之間。
  32. 如請求項31之方法,其中該第二保護層包含至少一個超晶格緩衝層。
  33. 一種回收一生長基板之方法,其包含:提供一生長結構,其包含一生長基板、在該生長基板之上的一保護層、在該保護層之上的一犧牲層,及在該犧牲層之上的一磊晶層,其中該保護層包含至少一個超晶格層;藉由蝕刻該犧牲層而釋放該磊晶層;及藉由蝕刻該保護層而移除該保護層。
  34. 如請求項33之方法,其中該保護層進一步包含一或多個保護性層。
  35. 如請求項34之方法,其中蝕刻該保護層包含利用獨立選擇之濕式蝕刻劑蝕刻該超晶格層及該一或多個保護性層中之每一者。
  36. 如請求項35之方法,其中該等濕式蝕刻劑選自HF、H3PO4、 HCl、H2SO4、H2O2、HNO3、C6H8O7及其組合,包括與H2O之組合。
  37. 如請求項33之方法,其中利用HF蝕刻該犧牲層。
  38. 如請求項37之方法,其中該犧牲層包含AlAs。
  39. 一種在ELO期間保持一裝置區域之完整性之方法,其包含:提供一生長結構,其包含一生長基板、在該生長基板之上的一犧牲層、在該犧牲層之上的一保護層,及在該保護層之上的一磊晶層,其中該保護層包含至少一個超晶格層;藉由蝕刻該犧牲層而釋放該磊晶層;及藉由蝕刻該保護層而自該磊晶層移除該保護層。
  40. 如請求項39之方法,其中該保護層進一步包含一或多個保護性層。
  41. 一種回收一生長基板之方法,其包含:在一生長基板上方沈積一緩衝層;在該緩衝層上方沈積一保護層;在該保護層上方沈積一犧牲層;在該犧牲層上方沈積一磊晶層;藉由蝕刻該犧牲層而釋放該磊晶層;藉由蝕刻該保護層而移除該保護層;執行該等以上步驟之至少一次反覆;及拋光該生長基板以移除該緩衝層之一聚積之一些或全部。
  42. 如請求項41之方法,其中該保護層包含至少一個超晶格層。
  43. 如請求項41之方法,其中該緩衝層為一超晶格緩衝層。
  44. 如請求項41之方法,其中在至少10次反覆後執行該拋光步驟。
TW104101367A 2014-01-15 2015-01-15 利用超晶格磊晶層對磊晶剝離薄膜裝置行非破壞性晶圓回收 TWI681565B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201461927928P 2014-01-15 2014-01-15
US61/927,928 2014-01-15

Publications (2)

Publication Number Publication Date
TW201603297A true TW201603297A (zh) 2016-01-16
TWI681565B TWI681565B (zh) 2020-01-01

Family

ID=53758506

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104101367A TWI681565B (zh) 2014-01-15 2015-01-15 利用超晶格磊晶層對磊晶剝離薄膜裝置行非破壞性晶圓回收

Country Status (3)

Country Link
US (1) US10680132B2 (zh)
TW (1) TWI681565B (zh)
WO (1) WO2015156871A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107845695A (zh) * 2017-12-08 2018-03-27 苏州矩阵光电有限公司 一种晶体外延结构及生长方法

Families Citing this family (225)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9548408B2 (en) * 2014-04-15 2017-01-17 L-3 Communications Cincinnati Electronics Corporation Tunneling barrier infrared detector devices
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
JP6938468B2 (ja) 2015-09-08 2021-09-22 マサチューセッツ インスティテュート オブ テクノロジー グラフェンベースの層転写のためのシステム及び方法
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR101773458B1 (ko) * 2016-04-19 2017-09-01 아주대학교산학협력단 n-on-p 구조의 고효율 GaAs 박막형 태양전지 제조 방법
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
CN110050335A (zh) 2016-11-08 2019-07-23 麻省理工学院 用于层转移的位错过滤系统和方法
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR20190118189A (ko) 2017-02-24 2019-10-17 메사추세츠 인스티튜트 오브 테크놀로지 곡선형 초점면 어레이를 위한 장치 및 방법들
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN110637372A (zh) * 2017-04-18 2019-12-31 麻省理工学院 通过远程外延来制造半导体器件的系统和方法
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
WO2019092536A1 (en) * 2017-11-07 2019-05-16 King Abdullah University Of Science And Technology Photoelectrochemical device, monolithic water splitting device and methods of production
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
CN107919414A (zh) * 2017-12-04 2018-04-17 歌尔股份有限公司 微发光二极管转移的方法、制造方法、装置和电子设备
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
DE102018002426A1 (de) * 2018-03-26 2019-09-26 Azur Space Solar Power Gmbh Stapelförmiges III-V-Halbleiterzeug und Herstellungsverfahren
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11434583B1 (en) * 2018-06-06 2022-09-06 United States Of America As Represented By The Secretary Of The Air Force Optimized Heteropitaxial growth of semiconductors
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN111863987A (zh) * 2019-04-28 2020-10-30 东泰高科装备科技有限公司 一种高效剥离的太阳能电池牺牲层及其剥离方法
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
CN112117344B (zh) * 2020-09-23 2022-05-31 扬州乾照光电有限公司 一种太阳能电池以及制作方法
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11658258B2 (en) * 2020-09-25 2023-05-23 Alliance For Sustainable Energy, Llc Device architectures having engineered stresses
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113380909B (zh) * 2021-05-12 2022-05-03 中山德华芯片技术有限公司 一种超晶格材料、制备方法及应用
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11721546B2 (en) 2021-10-28 2023-08-08 Atomera Incorporated Method for making semiconductor device with selective etching of superlattice to accumulate non-semiconductor atoms
US11631584B1 (en) 2021-10-28 2023-04-18 Atomera Incorporated Method for making semiconductor device with selective etching of superlattice to define etch stop layer
CN115085006B (zh) * 2022-08-22 2023-02-28 福建慧芯激光科技有限公司 一种两端带有组合反射镜的长波长vcsel及其制备方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US575835A (en) * 1897-01-26 Wheel-hub-drilling device
JPH1075012A (ja) * 1996-06-27 1998-03-17 Mitsubishi Electric Corp 半導体レーザ装置,及びその製造方法
US20070277874A1 (en) * 2006-05-31 2007-12-06 David Francis Dawson-Elli Thin film photovoltaic structure
EP2462631B1 (en) * 2009-09-10 2021-06-30 The Regents of the University of Michigan Methods of preparing flexible photovoltaic devices using epitaxial liftoff, and preserving the integrity of growth substrates used in epitaxial growth
US20120309172A1 (en) * 2011-05-31 2012-12-06 Epowersoft, Inc. Epitaxial Lift-Off and Wafer Reuse
JP2014523848A (ja) 2011-06-29 2014-09-18 ザ リージェンツ オブ ザ ユニヴァシティ オブ ミシガン エピタキシャルリフトオフ後のウエハーの再利用のための犠牲エッチング保護層
JP6312257B2 (ja) 2011-07-06 2018-04-18 ザ リージェンツ オブ ザ ユニヴァシティ オブ ミシガン エピタキシャルリフトオフを使用した組み込まれた太陽光集光と冷間圧接接合された半導体太陽電池
EP2761668A2 (en) * 2011-09-30 2014-08-06 Microlink Devices, Inc. Thin film inp-based solar cells using epitaxial lift-off

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107845695A (zh) * 2017-12-08 2018-03-27 苏州矩阵光电有限公司 一种晶体外延结构及生长方法
CN107845695B (zh) * 2017-12-08 2024-01-16 苏州矩阵光电有限公司 一种晶体外延结构及生长方法

Also Published As

Publication number Publication date
US20160351747A1 (en) 2016-12-01
TWI681565B (zh) 2020-01-01
US10680132B2 (en) 2020-06-09
WO2015156871A2 (en) 2015-10-15
WO2015156871A3 (en) 2015-12-03

Similar Documents

Publication Publication Date Title
TWI681565B (zh) 利用超晶格磊晶層對磊晶剝離薄膜裝置行非破壞性晶圓回收
US10770289B2 (en) Systems and methods for graphene based layer transfer
US10903073B2 (en) Systems and methods of dislocation filtering for layer transfer
US9570351B2 (en) Reusable semiconductor substrates
KR102533932B1 (ko) 사전 패터닝된 메사들을 통한 스트레인 경감 에피택셜 리프트-오프
US10014216B2 (en) Method for manufacturing semiconductor device using high speed epitaxial lift-off and template for III-V direct growth and semiconductor device manufactured using the same
US20130207237A1 (en) Method for producing gallium nitride substrates for electronic and optoelectronic devices
WO2018104741A1 (en) Semiconductor device and fabrication method
TWI671840B (zh) 用於促進磊晶剝離之應變控制
JP4638000B2 (ja) 半導体基板の製造方法
US20150137318A1 (en) Semiconductor wafer, method of producing a semiconductor wafer and method of producing a composite wafer
US20220367275A1 (en) Method and structure for thin-film fabrication
JP2014216356A (ja) 半導体基板、半導体基板の製造方法および複合基板の製造方法
JP6088281B2 (ja) 化合物半導体積層体及びその製造方法
Lee Compound Semiconductor-Based Thin-Film and Flexible Optoelectronics.
JP2011049565A (ja) 半導体基板の製造方法
JP2012212840A (ja) シリコン層転写用基板及び半導体基板の製造方法