TW201546896A - 電漿蝕刻方法及電漿蝕刻裝置 - Google Patents

電漿蝕刻方法及電漿蝕刻裝置 Download PDF

Info

Publication number
TW201546896A
TW201546896A TW104106767A TW104106767A TW201546896A TW 201546896 A TW201546896 A TW 201546896A TW 104106767 A TW104106767 A TW 104106767A TW 104106767 A TW104106767 A TW 104106767A TW 201546896 A TW201546896 A TW 201546896A
Authority
TW
Taiwan
Prior art keywords
gas
plasma
voltage
plasma etching
processing
Prior art date
Application number
TW104106767A
Other languages
English (en)
Other versions
TWI665726B (zh
Inventor
Akira Nakagawa
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201546896A publication Critical patent/TW201546896A/zh
Application granted granted Critical
Publication of TWI665726B publication Critical patent/TWI665726B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32027DC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

為更進一步抑制彎曲(bowing)之發生,本發明揭露一種電漿蝕刻方法,其實施形態之一例,係交互反覆下述兩條件:第1條件,開啟(on)電漿產生用射頻電力施加單元,產生供給至處理容器內之電漿;以及第2條件,關閉(off)電漿產生用射頻電力施加單元,消滅處理容器內之電漿;並由第1直流電源施加負的直流電壓,俾第2條件之期間的施加電壓之绝對值大於第1條件之期間的施加電壓之绝對值。又,於本發明之電漿蝕刻方法,處理氣體供給單元供給氦氣,作為稀釋氣體。

Description

電漿蝕刻方法及電漿蝕刻裝置
本發明之各種層面及實施形態,係有關於電漿蝕刻方法及電漿蝕刻裝置。
於習知技術中,在半導體裝置製程中使用電漿蝕刻方法,其以電漿作用在配置於處理室內的基板(例如半導體晶圓)而進行蝕刻。例如,於半導體裝置製程,在二氧化矽膜上形成接觸孔之情形等等,會使用這種電漿蝕刻方法。此外,近年來對於接觸孔要求孔徑要細微,且要是長寬比較高的深接觸孔(HARC(High Aspect Ratio Contact)),所以需要在抑制接觸孔內的孔隙擴大而產生之彎曲(bowing)以維持側壁形狀垂直的狀態下,形成此種接觸孔。
此外,於專利文獻1,揭露了使用C4 F8 氣體等氟碳氣體(CxFy)所代表之含鹵素的氣體、以及更進一步使用含氬氣或氧氣等其他氣體之氣體,對電漿蝕刻裝置之上部電極施加直流電壓,對半導體晶圓入射高速電子,將ArF光阻之低蝕刻抗性的有機膜改質,而在形狀良好且高蝕刻速率的情況下將被蝕刻膜加以蝕刻,以形成高長寬比的接觸孔。 [習知技術文獻] [專利文獻]
[專利文獻1]日本特開2010-219491號公報
[發明所欲解決的問題]
然而,專利文獻1之技術,無法釋放出足夠的二次電子,且為高長寬比,因此若持續蝕刻下去,會導致被蝕刻膜的接觸孔內形成彎曲(bowing)。本發明就以進一步抑制此種彎曲之發生為目的。 [解決問題之技術手段]
本發明所揭露之電漿蝕刻方法,於實施形態之一例,係使用一電漿蝕刻裝置而在所要蝕刻之膜上形成接觸孔;該電漿蝕刻裝置包括:一處理容器,容納被處理體,且其內部可進行真空排氣;一下部電極,配置於該處理容器內,發揮作為該被處理體之載置台的功能;一上部電極,配置於該處理容器內且與該下部電極相向;一處理氣體供給單元,對該處理容器內部供給至少含有CxFy氣體與比氬氣質量輕的稀有氣體之處理氣體;一電漿產生用射頻電力施加單元,對該上部電極或該下部電極之至少一方施加電漿產生用射頻電力;一直流電源,對該上部電極施加負的直流電壓。又,於所揭露之電漿蝕刻方法,交互反覆以下兩條件:第1條件,開啟(on)該電漿產生用射頻電力施加單元,在該處理容器內產生至少含有該CxFy氣體與比該氬氣質量輕的稀有氣體之處理氣體的電漿之步驟;以及第2條件,關閉(off)該電漿產生用射頻電力施加單元,消滅該處理容器內之至少含有該CxFy氣體與比該氬氣質量輕的稀有氣體之該處理氣體的電漿;並由該第1直流電源施加負的直流電壓,俾該第2條件之期間的施加電壓之绝對值大於該第1條件之期間的施加電壓之绝對值;以蝕刻該所要蝕刻之膜而形成接觸孔。 [發明之效果]
根據本發明之各種面相及實施形態,可達到更加抑制彎曲發生之正面效果。
於下文中,將針對所揭露之電漿蝕刻方法及電漿蝕刻裝置的實施形態,配合圖式,進行詳細的說明。又,本發明並不侷限於本實施形態所揭露之發明。實施形態可在不與處理內容矛盾的範圍內適當組合。以下將參考附圖,具體說明本發明之實施形態。
(第1實施形態) 圖1係顯示可實施本發明第1實施形態之電漿蝕刻方法之電漿蝕刻裝置的一例之概略剖面圖。
電漿蝕刻裝置係構成為電容耦合型平行平板電漿蝕刻裝置,具有例如表面經過陽極氧化處理的鋁所構成之略呈圓筒狀之腔體(處理容器)10。該腔體10有接地。
腔體10底部,隔著陶瓷等所構成之绝緣板12,配置有圓柱狀的基座支撐台14,該基座支撐台14上設有例如鋁所構成的基座16。基座16發揮下部電極的功能,作為被處理基板的半導體晶圓W就載置於基座16上。
基座16之頂面設有以靜電力吸附固定半導體晶圓W的靜電卡盤18。此靜電卡盤18,具有以一對绝緣層或绝緣片對挾由導電膜所構成之電極20的結構,該電極20電性連接直流電源22。而靜電卡盤18透過來自直流電源22的直流電壓所產生的庫侖力等靜電力,將半導體晶圓W吸附固定。
基座16周圍(環繞半導體晶圓W之周圍)配置有用以提高蝕刻均勻性之例如以矽膠所構成的導電性聚焦環(校正環)24。基座16及基座支撐台14之側面,設有例如以石英所構成的圓筒狀內壁構件26。內壁構件26亦可分割,而使其可拆可裝。
於基座支撐台14內部,例如於圓周上設有冷媒室28。從設在外部之未圖示的急冷器單元,透過配管30a、30b,對該冷媒室28循環供應例如冷却水等特定溫度的冷媒,而可透過冷媒的溫度控制基座上之半導體晶圓W的處理溫度。
再者,來自未圖示之熱傳氣體供給機構的熱傳氣體,例如氦氣,透過氣體供給管路32,而供給至靜電卡盤18之頂面及半導體晶圓W之背面之間,有效率地傳導來自半導體晶圓W的熱能,而對晶圓溫度進行冷却控制。
於作為下部電極之基座16上方,設有上部電極34,其設置成與基座16相向且平行。而上部電極34及下部電極16之間的空間,就成為電漿產生空間。上部電極34與作為下部電極之基座16上的半導體晶圓W相向,而形成與電漿產生空間相接的面,也就是相向的面。
此上部電極34透過绝緣性遮蔽構件42,而受到腔體10的上部所支撐,構成與基座16相向的面,且由電極板36及電極支持體38所構成;該電極板36具備複數吐出孔37;該電極支持體38由該電極板36以拆裝自如的方式支撐,並係例如鋁之類的導電性材料所構成的水冷結構。電極板36較佳係焦耳熱少之低電阻導電體或半導體,或者如後文所述,就強化光阻的觀點來看,較佳係含矽物質。從這個觀點來說,電極板36較佳係由矽或碳化矽(SiC)所構成。於電極支持體38內部,設有氣體擴散室40,與氣體吐出孔37連通之複數氣體通流孔41,從該氣體擴散室40往下方延伸。又,氣體擴散室40藉由例如隔板而分成中心部及周邊部;該中心部對應腔體10之中心部,該周邊部對應腔體10之周邊部。
電極支持體38形成有將處理氣體導向氣體擴散室40之氣體導入口62a、62b;於將氣體導入氣體擴散室40中心側的氣體導入口62a,連接有氣體供給管64a,而氣體供給管64a連接著處理氣體供給源66a。於氣體供給管64a,從上游側依序設有分流器68及開閉閥70(亦可用FCS代替MFC)。又,於將氣體導入氣體擴散室40周邊側的氣體導入口62b,連接有氣體供給管64b,而氣體供給管64b連接著處理氣體供給源66a及附加氣體供給源66b。於氣體供給管64b,從上游側依序設有質量流量控制器 (mass flow controller;MFC)69。此外,亦可設置FCS以代替MFC。
在此,從處理氣體供給源66a吐出蝕刻用的處理氣體,例如C4 F6 或C4 F8 氣體之類的氟碳氣體(CxFy)及氦氣;該蝕刻用的處理氣體透過分流器68而分成通往氣體擴散室40中心側的氣體、與通往周邊側的氣體;從氣體供給管64a到氣體擴散室40中心側,透過氣體通流孔41及氣體吐出孔37而以噴淋狀地吐出至電漿產生空間。也就是說,上部電極34發揮用以供給處理氣體的噴淋頭之功能。此外,氣體擴散室40之周邊側,則有附加氣體供給源66b所供給之氣體、和處理氣體供給源66a所供給之氣體中的透過分流器68而分到氣體擴散室40周邊側的氣體,從氣體供給管64b到氣體擴散室40的周邊側,吐出至電漿產生空間。
又,於使用稀釋氣體作為處理氣體供給源66a所供給之處理氣體的情形,所添加之氦氣量,例如,較佳為CxFy之總流量的50~400%,更佳為70~200%。再者,氦氣之流量,較佳為50~400sccm,更佳為70~200sccm。又,此範圍對於增加電漿密度非常有效。
於上部電極34,透過低通濾波器 (LPF)46a而與第1直流電源50電性連接。第1直流電源50連接成負極在上部電極34側,對上部電極34施加負電壓。低通濾波器 (LPF)46a係用以捕集後述之第1及第2射頻電源所發出之射頻者,較佳係以LR濾波器或LC濾波器來構成。
由腔體10的側壁,設有圓筒狀的接地導體10a,其延伸至比上部電極34的高度位置還要上方之處,以屏蔽電磁波。
作為下部電極之基座16,透過第1匹配器46而與用來產生電漿的第1射頻電源48電性連接。第1射頻電源48輸出27~100MHz的射頻,例如40MHz的射頻電力。第1匹配器46係用以對第1射頻電源48內部(或輸出)阻抗進行負載阻抗匹配者,於腔體10內產生電漿時,發揮使第1射頻電源48之輸出阻抗與負載阻抗看起來一致的功能。第1匹配器46如圖2所示,具有:第1可變電容97,其由第1射頻電源46之供電線96分支設置;第2可變電容98,其設於供電線96之該分支點的第1射頻電源48側;以及線圈99,其設於分支點之相反側。
於作為下部電極之基座16,另透過第2匹配器88而亦與第2射頻電源90電性連接。藉由從該第2射頻電源90對作為下部電極之基座16供給射頻電力,而對半導體晶圓W施加偏壓,將離子導入半導體晶圓W。第2射頻電源90輸出400kHz~13.56MHz之範圍內的低頻,例如輸出3MHz之射頻電力。第2匹配器88係用以對第2射頻電源90內部(或輸出)阻抗進行負載阻抗匹配者,於腔體10內產生電漿時,發揮使第2射頻電源90之內部阻抗與包含腔體10內之電漿的負載阻抗看起來一致的功能。此外,亦可係在第1匹配器46與第2匹配器88一體構成之Dual Matcher結構,再以一支供電棒連接基座支撐台14。藉此,可使進行阻抗匹配之機構小型化。
第1直流電源50、第1射頻電源48、第2射頻電源90,與脈波控制器95電性連接,並由脈波控制器95所控制。
具體而言,脈波控制器95可控制第1射頻電源48之開(on)、關(off)及輸出,可以控制成使第1射頻電源48連續性開啟而產生電漿的狀態;也可以控制成交互開、關,例如使其為脈波狀,而交互形成電漿存在之狀態及電漿消滅之狀態。此外,亦可控制偏壓用的第2射頻電源90之開、關及輸出,可以控制成於電漿處理中以特定之輸出而連續施加偏壓的狀態;也可以控制成使第2射頻電源90之輸出與第1射頻電源48的開、關同步,例如控制成脈波狀的輸出。更進一步而言,脈波控制器95可以進行第1直流電源50之開、關控制及電流、電壓控制。
例如,第1射頻電源48在射頻電力以特定周期開、關之模式下,脈波控制器95進行控制,而使第1匹配器46的匹配動作與該開、關同步作切換。
在此情況下,脈波控制器95在使第1射頻電源48以開、關模式動作時,若可變電容無法跟著開、關,則進行控制而使第1匹配器46不進行動作。第2匹配器88基本上也構成為與第1匹配器46相同,脈波控制器95在進行輸出控制使第2射頻電源90之輸出與第1射頻電源48之開、關同步時,若可變電容無法跟著開、關,則進行控制而使第2匹配器88不進行動作。
然而,當第1匹配器46及第2匹配器88之可變電容的動作夠快的情況下,亦可在高輸出時控制第1匹配器46的動作,以使第1射頻電源48之內部阻抗與包含了腔體10內之電漿的負載阻抗一致;另外,控制第2匹配器88的動作,以使第2射頻電源90之內部阻抗與包含了腔體10內之電漿的負載阻抗一致。
腔體10底部設有排氣口80,該排氣口80透過排氣管82而連接排氣裝置84。排氣裝置84具有渦輪分子泵等真空泵,可將腔體10內部減壓至所要的真空度。又,於腔體10之側壁,設有半導體晶圓W之移入移出口85,該移入移出口85藉閘閥86之助而可開閉自如。此外,為了防止蝕刻副產物(沈積物)沿著腔體10之內壁附著於腔體10,設有拆裝自如的沈積物防護罩 11。亦即,沈積物防護罩 11構成腔體壁。又,內壁構件26之外周亦設有沈積物防護罩 11。腔體10之底部的腔體壁側之沈積物防護罩 11、與內壁構件26側之沈積物防護罩 11之間,設有排氣板83。較佳係使用被覆Y2 O3 等陶瓷之鋁材,作為沈積物防護罩 11及排氣板83。
在構成沈積物防護罩 11之腔體內壁且與晶圓W幾乎同等高度的部分,設有直流接地的導電性構件(接地座;GND block)91。藉由該導電性構件91將滯留在腔體內壁表面的電子(電荷)加以釋放,可發揮防止異常放電的效果。又,該導電性構件91,只要係設於電漿產生區域,則其位置不限於圖1之位置,亦可設於例如基座16之周圍等,設於基座16側亦無妨;或於上部電極34外側設置成環狀等,設於上部電極34附近亦可。也可以設置於不會暴露於電漿下的位置,例如基座16之下方亦可。
電漿處理裝置之各構成部分,例如電源類及氣體供給類、驅動類,甚至是脈波控制器95等,均連接至包含微處理器(電腦)的控制部(全體控制裝置)100,構成為由控制部100所控制。又,控制部100連接著使用者介面101,其構成包括可供操作者輸入用以管理電漿處理裝置之指令的鍵盤、使電漿處理裝置之運作狀況可視化顯示之顯示器等。
更進一步地,控制部100連接記憶部102,其儲存有用以在控制部100之控制下實現在電漿處理裝置所執行之各種處理的控制程式、因應處理條件而使電漿處理裝置之各構成部分執行處理的程式,亦即處理程式(recipe)。處理程式儲存在記憶部102中之記憶媒體。記憶媒體可為硬碟或半導體記憶體,亦可為CDROM、DVD、快閃記憶體等可攜式媒體。又,亦可係由其他裝置,例如透過專用回線而適當傳送程式。
然後藉由視需要,而由來自使用者介面101的指令等,從記憶部102叫出任一處理程式,使控制部100執行,就會在控制部100之控制下,在電漿處理裝置進行所要的處理。又,本發明之實施形態所述之電漿處理裝置(電漿蝕刻裝置),包含該控制部100。
接著,就第1實施形態之電漿蝕刻方法進行說明。
例如,如圖3-1所示,在矽基板120上,形成例如二氧化矽之绝緣膜121,再於其上形成作為蝕刻光罩的硬光罩,例如多晶矽膜122a,又於其上形成氧化膜122,更進一步地藉由黃光微影製程而於其上形成圖案化之光阻膜(例如ArF光阻膜)123作為蝕刻光罩。
首先,如圖3-2所示,以光阻膜123為光罩,蝕刻氧化膜122。
接著,如圖3-3所示,以氧化膜122為光罩,藉由含有例如Br及NF3氣體等鹵素氣體之氣體的電漿,蝕刻多晶矽膜122a而形成硬光罩。
接著,對形成有硬光罩之半導體晶圓W,使用圖1之電漿蝕刻裝置,施行電漿蝕刻。首先,使閘閥86成為開啟狀態,透過移入移出口85而將上述結構之半導體晶圓W移入腔體10內,載置於基座16上。在此狀態下關閉閘閥86,一邊藉由排氣裝置84而從腔體10內排氣,一邊從處理氣體供給源66a以特定之流量將處理氣體供給至氣體擴散室40;透過氣體通流孔41及氣體吐出孔37而對腔體10內供給,同時將其中的壓力設定在例如2Pa(15mTorr),施加特定之射頻電力及直流電壓,而對晶圓W進行電漿蝕刻。此時,藉由從直流電源22對靜電卡盤18之電極20供給直流電壓,而將半導體晶圓W固定於靜電卡盤18。此外,腔體10內之壓力,較佳係在例如0.1~150Pa(7.5~1125mTorr)之範圍內。又,就蝕刻特性之觀點來看,腔體10內之壓力,更佳係在例如1~26.6Pa之範圍內。
在此,作為蝕刻绝緣膜之處理氣體,至少可以採用含CxFy與氦氣之氣體。就CxFy而言,可例舉C4 F6 、C4 F8 ;C4 F6 或C4 F8 可個別作為單一氣體使用,亦可混合C4 F6 與C4 F8 而使用。又,處理氣體亦可更進一步地含有氧氣、三氟化氮(NF3 )氣體等其他氣體。
<蝕刻條件> 氣體種類 C4 F6 /C4 F8 /He/O2 /NF3 氣體流量 5~70sccm/5~70sccm/70~200sccm/50~100sccm/1~20sccm 第1射頻之頻率及電力 40MHz/1500~2500W 第2射頻之頻率及電力 3MHz/5000~9000W 射頻脈波之頻率 1~150kHz 射頻脈波之工作比(duty ratio) 10~60% 射頻脈波之關閉時間 30~150μsec 直流電壓(DC) 500~1000V
從第1射頻電源48,對作為下部電極之基座16施加27~100MHz之頻率,例如40MHz這般較高頻率的電漿產生用射頻電力,且由第2射頻電源90連續性地施加400kHz~13.56MHz之頻率,例如3MHz這般,較電漿產生用射頻電力的頻率低的離子導入用射頻電力,更進一步地從第1直流電源50,對上部電極34連續性地施加特定之直流電壓。
作為形成於上部電極34之電極板36的氣體吐出孔37所吐出之稀釋氣體而含有氦氣的處理氣體,在射頻電力所產生的上部電極34及作為下部電極之基座16之間電漿化,绝緣膜121藉由該電漿而以多晶矽122a為光罩,受到蝕刻。在此,如圖12之沈積物310所示,绝緣膜121之蝕刻,會在從電漿所產生之CF類聚合物及從接觸孔底部所產生之反應產生物沈積於接觸孔內側壁之同時,進行蝕刻。該沈積物雖會成為接觸孔側壁之保護膜而抑制彎曲,但在形成例如20以上之高長寬比的接觸孔之情形,於習知技術,會在接觸孔上方形成保護膜,然而由於不具蝕刻抗性,所以在接觸孔內會形成彎曲,蝕刻形狀不佳。而在本發明,係對上部電極施加DC,並以DC、RF採脈波的方式,而用至少含CxFy與氦氣之處理氣體的電漿進行蝕刻。藉此,由於沈積物與多晶矽間的能有更大的選擇比,故可抑制彎曲。又,圖12係針對沈積物進行繪示的圖。
此時,藉由對下部電極施加電漿產生用射頻電力,可以在更接近晶圓的地方產生電漿,將電漿導入基板側;又,電漿不會擴散到較大區域,而可以抑制處理氣體之解離,所以即使在腔體10內之壓力高、電漿密度低的條件下,仍可提升蝕刻速率。又,即使在電漿產生用射頻電力的頻率高的情況下,也能確保較大之離子能量,故有高効率。再者,藉由如本實施形態般,使對下部電極分別施加電漿產生用射頻電力、及離子導入用射頻電力,而使得電漿蝕刻所需之電漿形成功能及離子導入功能可以分別獨立控制。因此,可以滿足需要高微細加工性的蝕刻條件。更進一步而言,由於係供給27MHz以上之高頻率區段的射頻電力以產生電漿,所以能以良好之効率使電漿高密度化,即使在更低壓之條件下,亦得以產生高密度電漿。
又,由於本發明係使用氦氣,所以藉由從第1直流電源50對上部電極34施加負的直流電壓,電漿中的正離子衝撞上部電極34,而在其附近比氬氣產生更多的二次電子,所以會使該二次電子朝垂直方向下方加速,而可以對作為被處理體之半導體晶圓W的接觸孔內供給該加速之二次電子(高速電子)。此時,對半導體晶圓W之接觸孔內供給之電子,使保護膜改質並硬化,而可以抑制側壁之蝕刻,抑制接觸孔內之彎曲。例如,如圖12所示之例,可以使沈積物310改質並硬化,可以抑制接觸孔內之彎曲。
又,高速電子所造成之改質效果,仰賴於半導體晶圓W上之電漿鞘(sheath)厚度。亦即,於蝕刻時,除了來自第1射頻電源48的射頻電力以外,還施加用以賦與高頻偏壓用的第2射頻電源90所發出的射頻電力,因此如圖4所示,電漿鞘厚度S會變厚,而反射二次電子e。此成為障壁,而無法對保護膜123供給足夠的電子,無法得到充份的改質效果。另外,圖4之124係接觸孔。
有鑑於此,於本實施形態,則更進一步地如圖5所示,使電漿產生用之第1射頻電源48交互開、關,並與其同步,使施加第2偏壓用的第2射頻電源90交互開、關;如圖6所示,交互反覆著第1射頻電源48引起的電漿(輝光電漿;glow plasma)產生的狀態(電漿開)與電漿消失了的狀態(電漿關),第1直流電源50與電漿之開、關同步,對上部電極34施加負的直流電壓,而其施加電壓的绝對值,在電漿關的期間大於電漿開的期間。
亦即隨著電漿處理之進展,第1射頻電源48所產生之電漿的電漿鞘,與施加偏壓用之第2射頻電源90所產生的電漿鞘加在一起,而如圖4所示,形成了非常厚的電漿鞘。因此,在電漿開的期間,二次電子e被電漿鞘S反射,而不會被供給到保護膜,改質不充份。然而,在電漿關的期間,第1射頻電源48與第2射頻電源90均為關,所以電漿鞘幾乎消滅,如圖6所示,可以使二次電子(高速電子)e輕易到達保護膜,故而得以有効地處理保護膜之改質(硬化)。
又,藉由使第1直流電源50所施加之直流電壓,在電漿關的期間比電漿開的期間還要來得大,而在電漿關的期間能對保護膜供給更大量的二次電子,所以可以更有効地使保護膜改質(硬化)。
如此這般,與高頻(RF)電力之開、關同步之電漿之開、關,以及作為電子對半導體晶圓W之入射量之指標的對半導體晶圓W之入射電子電流(A)間的關係,繪示於圖7。由該圖所示可以得知,於關閉高頻(RF)電力、電漿關的期間,入射電子電流增加,而於電漿關的期間會供給大量的電子。
於電漿開的期間所施加之直流電壓,只要是配合所欲形成之電漿的數值即可,例如可舉例如0~-2000V左右。另外,於電漿關的期間所施加之直流電壓,只要绝對值較電漿開的期間大即可,不過從光阻膜123等的改質之觀點來考量,則其绝對值係越大越好,不存在上限。然而,若考量到裝置之耐用性,則實際上绝對值要小於-2000V為佳。具體而言,較佳係-300~-1500V,更佳係500~-1000V。
電漿關的期間,以30~150μsec為佳。若為30μsec以下,則二次電子之入射量會變少,所以改質之效果不足,無法抑制彎曲。因此,使電漿關的期間長較佳。又,脈波之頻率,以1~15kHz為佳,更佳為5~15kHz。工作比較佳為10~60%。工作比若在60%以上,則蝕刻形狀不佳,故工作比以低為宜。
此外,如圖8所示,亦可使來自第1直流電源50的直流電壓,在電漿關的期間關閉,而在電漿關的期間開啟。
在本實施形態,高Vpp之製程係Vpp約為3000~4000V,Vdc約為-1500~-2000V。又,若設上部電極之Vdc為Vdc(top),設下部電極之Vdc為Vdc(bottom),則為得到保護膜之改質效果,較佳係滿足Vdc(top)>Vdc(bottom)之條件。
此外,作為實施本實施形態之方法的裝置,亦可以取代圖1之裝置,而採用如圖9所示之裝置,將第1直流電源設置成:施加低電壓之直流電源50a及施加高電壓之直流電源50b,並可以藉由開關51而將該等交互切換;該施加低電壓之直流電源50a係在電漿開的期間,施加與其對應之相對較低的直流電壓;該施加高電壓之直流電源50b,係在電漿關的期間,施加與其對應之相對較高的直流電壓。藉此,可以更簡易地實施直流電壓之切換。
在此,作為稀釋氣體,亦可使用質量較氬氣更輕之稀有氣體,例如氦氣、氖氣等。茲針對使用其他稀有氣體作為稀釋氣體的情形,進行比較說明。藉由從稀有氣體所產生之高速二次電子,可以提高對有機膜的改質效果。亦即,二次電子係透過電漿中之正離子撞擊上部電極34,而在其附近產生二次電子。此處,若針對可用作稀釋氣體之氣體,各自探究其二次電子放出係數(Secondary-Electron Emission Coefficient,SEEC),例如使用加速電壓100eV,而對矽(100)撞擊離子時,相對於在Ar+的情況下係3%左右,若使用Ne+則為13%,若使用He+則為17%。基於此點,使用二次電子放出係數相對較高之氖、或如氦氣等般較氬氣質量為輕的稀有氣體較佳,更佳係使用氦氣。
接著,針對確認了本實施形態所述方法之效果的實驗,進行說明。在此準備如下樣本:於矽基板上以3000nm的厚度形成二氧化矽之绝緣膜121,於其上以900nm的厚度形成用作光罩之多晶矽膜122a,再以黃光微影製程於其上形成圖案化之ArF光阻膜123;然後對該樣本進行下述兩種操作,分別求得多晶矽膜122a之殘存厚度:一種係使第1射頻電源48及第2射頻電源90同步開、關,且從第1直流電源50對上部電極施加之直流電壓設為低绝對值的-150V,連續施加以蝕刻二氧化矽之绝緣膜121;另一種係將第1射頻電源48及第2射頻電源90設為關閉,且將直流電壓設為提高绝對值之-1200V,以蝕刻二氧化矽之绝緣膜121。亦即,在作為有機膜之ArF光阻膜123及多晶矽膜122a之強化程度高的情形,電漿蝕刻時之ArF光阻膜123的殘存期間長,多晶矽膜122a之蝕刻抗性亦高,因此多晶矽膜122a之殘存厚度會變厚。
此實驗之結果如下:於維持一定之直流電壓,連續性地施加而進行蝕刻的情況下,多晶矽膜122a之殘存膜厚為430nm;然而依據本實施形態脈波狀施加直流電壓的情況下,多晶矽膜122a之殘存膜厚變為485nm。藉此可確認到,若依據本實施形態,ArF光阻膜123及多晶矽膜122a之強化程度得以提高。
又,相較於使用其他稀釋氣體的情況,若使用氦氣作為稀釋氣體,則即便要形成高長寬比之接觸孔,亦可以抑制彎曲之發生。
圖10-1及圖10-2,係在上述製程條件下,比較使用氦氣作為稀釋氣體之情形、及使用氬氣之情形,接觸孔內之底部臨界尺寸及彎曲之關係圖。取得圖10-1及圖10-2所示數據時的膜組成係於矽基板上,以特定膜厚交互積層第1氮化矽層、第1二氧化矽層、第2氮化矽層、第2二氧化矽層、及第3氮化矽層,形成特定之積層膜。然後在第1二氧化矽層上形成Poly Mask層。而後比較以下兩種情形:使用氬氣作為稀釋氣體進行蝕刻之情形,以及使用氦氣進行蝕刻之情形。具體而言,係依序蝕刻Poly Mask層、第3氮化矽層、第2二氧化矽層、第2氮化矽層。之後,在蝕刻第1二氧化矽層時,使用含有氦氣作為稀釋氣體之處理氣體進行蝕刻,或是使用含有氬氣作為稀釋氣體之處理氣體進行蝕刻。之後,量測彎曲寬度。
於圖10-1及圖10-2中,橫軸表示接觸孔之底部寬度,縱軸表示接觸孔之寬度中,於第2氮化矽層最大的寬度值。如圖10-1及圖10-2所示,使用氦氣作為稀釋氣體,且以DC脈波+RF脈波蝕刻之情形,相較於使用氬氣作為稀釋氣體之情形,彎曲寬度改善情形達1nm之多。亦即,藉由使用氦氣,可以抑制彎曲之發生。
如此這般,於蝕刻上層之第2二氧化矽層時,若使用含有氬氣作為稀釋氣體之處理氣體的電漿進行第1電漿蝕刻,接著於蝕刻下層之第1二氧化矽層時,使用含有氦氣作為稀釋氣體之處理氣體的電漿進行第2電漿蝕刻,藉此可抑制彎曲。
在此情形,高頻率之射頻電力的比例,較佳為第1電漿蝕刻對第2電漿蝕刻為1:15~1:2.5。又,低頻率之射頻電力的比例,較佳為第1電漿蝕刻對第2電漿蝕刻為1:1~1:1.5。
又,於第1電漿蝕刻時,對上部電極施加之DC電壓,脈波於ON時較佳為-100~―400V,OFF時較佳為-800~-1000V之範圍。
又,於第2電漿蝕刻時,對上部電極施加之DC電壓,脈波於ON時較佳為-400~―600V,OFF時較佳為-900-1100V之範圍。
(其他實施形態) 以上針對本發明之實施形態進行了說明,但本發明並不限定於上述實施形態,可進行各種變化。例如,實施本發明之裝置,並不限於上文例示者,亦可為例如於下部電極設置一個電漿產生用之射頻電源。又,於上述實施形態,於電漿蝕刻時係施加第1直流電壓,但這並非必要。再者,適用本發明之被處理體,並不限於圖3所示者,亦可為任一被處理體。例如亦可為光阻與受蝕刻之膜之間夾著反射防止膜者。典型的受蝕刻之膜雖為氧化膜等绝緣膜,但並不限定於此。圖11-1~圖11-3,係顯示被處理體之其他例子的圖。例如,如圖11-1所示,被處理體亦可係如下結構之半導體晶圓W:於矽基板301上形成绝緣膜302,於其上形成例如有機膜之非晶質碳膜303作為蝕刻光罩之硬光罩,再於其上以黃光微影製程形成圖案化之光阻膜(例如ArF光阻膜)304作為蝕刻光罩。在此情形,如圖11-2所示,首先以光阻膜304作為光罩,蝕刻非晶質膜303。之後,如圖11-3所示,以非晶質膜303作為光罩,藉由CxFy類氣體之電漿,蝕刻绝緣膜302,以形成貫孔(via)‧凹槽(trench)。
10‧‧‧腔體(處理容器)
10a‧‧‧接地導體
11‧‧‧沈積物防護罩
12‧‧‧絶緣板
14‧‧‧基座支撐台
16‧‧‧基座(下部電極)
18‧‧‧靜電卡盤
20‧‧‧電極
22‧‧‧直流電源
24‧‧‧聚焦環
26‧‧‧內壁構件
28‧‧‧冷媒室
30a、30b‧‧‧配管30a、30b
32‧‧‧氣體供給管路
34‧‧‧上部電極
36‧‧‧電極板
37‧‧‧吐出孔
38‧‧‧電極支持體
40‧‧‧氣體擴散室
41‧‧‧氣體通流孔
42‧‧‧絶緣性遮蔽構件
46‧‧‧第1匹配器
46a‧‧‧低通濾波器(LPF)
48‧‧‧第1射頻電源
50‧‧‧第1直流電源.
50a‧‧‧施加低電壓之直流電源
50b‧‧‧施加高電壓之直流電源
51‧‧‧開關
62a、62b‧‧‧氣體導入口
64a‧‧‧氣體供給管
64b‧‧‧氣體供給管,
66a‧‧‧處理氣體供給源
66b‧‧‧附加氣體供給源
68‧‧‧分流器
69‧‧‧質量流量控制器(mass flow controller;MFC)
70‧‧‧開閉閥
80‧‧‧排氣口
82‧‧‧排氣管
83‧‧‧排氣板
84‧‧‧排氣裝置
85‧‧‧移入移出口
86‧‧‧閘閥
88‧‧‧第2匹配器
90‧‧‧第2射頻電源
91‧‧‧導電性構件(GND block)
95‧‧‧脈波控制器
96‧‧‧供電線
97‧‧‧第1可變電容
98‧‧‧第2可變電容
99‧‧‧線圈
100‧‧‧控制部
101‧‧‧使用者介面
102‧‧‧記憶部
120‧‧‧矽基板
121‧‧‧絶緣膜
122‧‧‧氧化膜
122a‧‧‧多晶矽膜
123‧‧‧光阻膜
124‧‧‧接觸孔
301‧‧‧矽基板
302‧‧‧絶緣膜
303‧‧‧非晶質碳膜
304‧‧‧光阻膜
310‧‧‧沈積物
e‧‧‧二次電子
S‧‧‧電漿鞘厚度
W‧‧‧半導體晶圓
【圖1】圖1係顯示可實施本發明第1實施形態之電漿蝕刻方法之電漿蝕刻裝置一例之概略剖面圖。 【圖2】圖2係顯示:於圖1之電漿蝕刻裝置,連接第1射頻電源之第1匹配器結構的圖。 【圖3-1】圖3-1係顯示:適用本發明第1實施形態之電漿蝕刻方法的被處理體之半導體晶圓的結構例的剖面圖。 【圖3-2】圖3-2係顯示:適用本發明第1實施形態之電漿蝕刻方法的被處理體之半導體晶圓的結構例的剖面圖。 【圖3-3】圖3-3係顯示:適用本發明第1實施形態之電漿蝕刻方法的被處理體之半導體晶圓的結構例的剖面圖。 【圖4】圖4係顯示電漿鞘(sheath)較厚的情況下,在上部電極施加負的直流電壓所產生之二次電子的動作之示意圖。 【圖5】圖5係顯示:於本發明第1實施形態之電漿蝕刻方法的第1射頻電源、第2射頻電源、及第1直流電源之狀態的時序圖。 【圖6】圖6係顯示不存在電漿鞘時,在上部電極施加負的直流電壓所產生之二次電子的動作之示意圖。 【圖7】圖7係顯示:於本發明第1實施形態之電漿蝕刻方法,射頻電力之開、關與對晶圓入射之電子電流間的關係之圖。 【圖8】圖8係顯示:於本發明第1實施形態之電漿蝕刻方法的第1射頻電源、第2射頻電源、及第1直流電源之狀態之另一例的時序圖。 【圖9】圖9係顯示圖1之裝置的第1直流電源之另一例的示意圖。【圖10-1】圖10-1係針對稀釋氣體,比較使用氦氣之情形、與使用氬氣之情形的圖。。 【圖10-2】圖10-2係針對稀釋氣體,比較使用氦氣之情形、與使用氬氣之情形的圖。 【圖11-1】圖11-1係顯示被處理體之另一例的圖。 【圖11-2】圖11-2係顯示被處理體之另一例的圖。 【圖11-3】圖11-3係顯示被處理體之另一例的圖。 【圖12】圖12係針對沈積物進行繪示的圖。
48‧‧‧第1射頻電源
50‧‧‧第1直流電源
90‧‧‧第2射頻電源

Claims (10)

  1. 一種電漿蝕刻方法,係使用一電漿蝕刻裝置而在所要蝕刻之膜上形成接觸孔;該電漿蝕刻裝置包括:    處理容器,容納被處理體,且其內部可進行真空排氣;   下部電極,配置於該處理容器內,發揮作為該被處理體之載置台的功能;   上部電極,配置於該處理容器內且與該下部電極相向;   處理氣體供給單元,對該處理容器內部供給至少含有CxFy氣體與比氬氣質量輕的稀有氣體之處理氣體;   電漿產生用射頻電力施加單元,對該上部電極或該下部電極之至少一方施加電漿產生用射頻電力;以及   直流電源,對該上部電極施加負的直流電壓;   該電漿蝕刻方法交互反覆以下步驟: 在第1條件下開啟該電漿產生用射頻電力施加單元,產生供給至該處理容器內之該處理氣體的電漿之步驟;以及   在第2條件下關閉該電漿產生用射頻電力施加單元,消滅該處理容器內之該處理氣體的電漿之步驟;   並由該直流電源施加一電壓,施加之該電壓係使該第2條件之該負直流電壓之绝對值大於該第1條件之該負直流電壓之绝對值; 以蝕刻該所要蝕刻之膜而形成接觸孔。
  2. 一種電漿蝕刻方法,係使用一電漿蝕刻裝置而在第1绝緣層及第2绝緣層上形成接觸孔;該電漿蝕刻裝置包括:    處理容器,容納有被處理體,且其內部可進行真空排氣;該被處理體具備交互積層有該第1绝緣層及該第2绝緣層之積層膜,及形成於該第1绝緣層上的光罩層;   下部電極,配置於該處理容器內,發揮作為該被處理體之載置台的功能;   上部電極,配置於該處理容器內且與該下部電極相向;   處理氣體供給單元,對該處理容器內部供給至少含有CxFy氣體與比氬氣質量輕的稀有氣體之處理氣體;   電漿產生用射頻電力施加單元,對該上部電極或該下部電極之至少一方施加電漿產生用射頻電力;以及   直流電源,對該上部電極施加負的直流電壓;   該電漿蝕刻方法包括以下步驟:   第1電漿蝕刻步驟,藉由第1電漿蝕刻該第1绝緣層;以及   第2電漿蝕刻步驟,藉由第2電漿蝕刻該第2绝緣層;   於該第2電漿蝕刻步驟,交互反覆以下步驟:   在第1條件下開啟該電漿產生用射頻電力施加單元,產生供給至該處理容器內之該處理氣體的該第2電漿之步驟;以及   在第2條件下關閉該電漿產生用射頻電力施加單元,消滅該處理容器內之該處理氣體的該第2電漿之步驟;   並由該直流電源該施加一電壓,施加之該電壓係使該第2條件之該負直流電壓之绝對值大於該第1條件之該負直流電壓之绝對值; 以蝕刻該第2绝緣層而形成接觸孔。
  3. 如申請專利範圍第1項之電漿蝕刻方法,其中,該CxFy氣體係C4 F6 氣體或C4 F8 氣體;該處理氣體係至少含有該C4 F6 氣體及該C4 F8 氣體中之任一。
  4. 如申請專利範圍第1項之電漿蝕刻方法,其中,該處理氣體,更進一步地含有氧氣。
  5. 如申請專利範圍第1項之電漿蝕刻方法,其中,該處理氣體,更進一步地含有NF3 氣體。
  6. 如申請專利範圍第1項之電漿蝕刻方法,其中,質量較該氬氣還輕的稀有氣體,係氦氣或氖氣。
  7. 一種電漿蝕刻裝置,包括:    處理容器,容納被處理體,且其內部可進行真空排氣;   下部電極,配置於該處理容器內,發揮作為該被處理體之載置台的功能;   上部電極,配置於該處理容器內且與該下部電極相向;   處理氣體供給單元,對該處理容器內部供給至少含有CxFy氣體與比氬氣質量輕的稀有氣體之處理氣體;   電漿產生用射頻電力施加單元,對該上部電極或該下部電極之至少一方施加電漿產生用射頻電力;   第1直流電源,對該上部電極施加負的直流電壓;    控制部,控制該電漿產生用射頻電力施加單元;   該控制部交互反覆以下步驟:   在第1條件下開啟該電漿產生用射頻電力施加單元,產生供給至該處理容器內之該處理氣體的電漿之步驟;以及   在第2條件下關閉該電漿產生用射頻電力施加單元,消滅該處理容器內之該處理氣體的電漿之步驟;   並控制使該直流電源施加一電壓,施加之該電壓係使該第2條件之該負直流電壓之绝對值大於該第1條件之該負直流電壓之绝對值; 以蝕刻該所要蝕刻之膜而形成接觸孔。
  8. 如申請專利範圍第7項之電漿蝕刻裝置,其中,該CxFy氣體係C4 F6 氣體或C4 F8 氣體;該處理氣體係至少含有該C4 F6 氣體及該C4 F8 氣體中之任一。
  9. 如申請專利範圍第7項之電漿蝕刻裝置,其中,該處理氣體,更進一步地含有氧氣。
  10. 如申請專利範圍第7項之電漿蝕刻裝置,其中,該處理氣體,更進一步地含有NF3 氣體。
TW104106767A 2014-03-04 2015-03-04 電漿蝕刻方法及電漿蝕刻裝置 TWI665726B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2014-042172 2014-03-04
JP2014042172 2014-03-04
JP2014-180073 2014-09-04
JP2014180073A JP6320248B2 (ja) 2014-03-04 2014-09-04 プラズマエッチング方法

Publications (2)

Publication Number Publication Date
TW201546896A true TW201546896A (zh) 2015-12-16
TWI665726B TWI665726B (zh) 2019-07-11

Family

ID=52807521

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104106767A TWI665726B (zh) 2014-03-04 2015-03-04 電漿蝕刻方法及電漿蝕刻裝置

Country Status (6)

Country Link
US (1) US9324575B2 (zh)
EP (1) EP2916347A1 (zh)
JP (1) JP6320248B2 (zh)
KR (1) KR102358732B1 (zh)
CN (1) CN104900511B (zh)
TW (1) TWI665726B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI811757B (zh) * 2016-06-30 2023-08-11 美商蘭姆研究公司 間隙填充中之沉積與蝕刻用設備

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104798446B (zh) * 2013-03-12 2017-09-08 应用材料公司 具有方位角与径向分布控制的多区域气体注入组件
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US10510625B2 (en) * 2015-11-17 2019-12-17 Lam Research Corporation Systems and methods for controlling plasma instability in semiconductor fabrication
JP6378234B2 (ja) * 2016-03-22 2018-08-22 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10847368B2 (en) * 2017-04-07 2020-11-24 Applied Materials, Inc. EUV resist patterning using pulsed plasma
KR20190014623A (ko) * 2017-08-03 2019-02-13 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치 제조 방법
JP6945388B2 (ja) * 2017-08-23 2021-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング処理装置
KR102477354B1 (ko) * 2018-03-29 2022-12-15 삼성전자주식회사 가스 분배 판을 갖는 플라즈마 처리 장치
JP6886940B2 (ja) * 2018-04-23 2021-06-16 東京エレクトロン株式会社 プラズマ処理方法
JP6965205B2 (ja) * 2018-04-27 2021-11-10 東京エレクトロン株式会社 エッチング装置、及びエッチング方法
JP7068140B2 (ja) * 2018-11-05 2022-05-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP7481823B2 (ja) * 2018-11-05 2024-05-13 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
JP7241540B2 (ja) * 2018-12-28 2023-03-17 東京エレクトロン株式会社 測定方法及び測定治具
US11257678B2 (en) * 2019-04-19 2022-02-22 Hitachi High-Tech Corporation Plasma processing method
TW202117802A (zh) * 2019-07-02 2021-05-01 美商應用材料股份有限公司 固化介電質材料的方法與設備

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002110650A (ja) * 2000-10-03 2002-04-12 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
JP4749683B2 (ja) * 2004-06-08 2011-08-17 東京エレクトロン株式会社 エッチング方法
KR100844930B1 (ko) * 2005-09-28 2008-07-09 주식회사 하이닉스반도체 플라스크 모양의 리세스게이트를 갖는 반도체 소자의 제조방법
JP2008078515A (ja) * 2006-09-25 2008-04-03 Tokyo Electron Ltd プラズマ処理方法
US8383001B2 (en) * 2009-02-20 2013-02-26 Tokyo Electron Limited Plasma etching method, plasma etching apparatus and storage medium
JP5466480B2 (ja) * 2009-02-20 2014-04-09 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置および記憶媒体
JP5662079B2 (ja) * 2010-02-24 2015-01-28 東京エレクトロン株式会社 エッチング処理方法
US9373521B2 (en) * 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
JP5893864B2 (ja) * 2011-08-02 2016-03-23 東京エレクトロン株式会社 プラズマエッチング方法
KR102038649B1 (ko) 2012-02-20 2019-10-30 도쿄엘렉트론가부시키가이샤 전원 시스템, 플라즈마 에칭 장치 및 플라즈마 에칭 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI811757B (zh) * 2016-06-30 2023-08-11 美商蘭姆研究公司 間隙填充中之沉積與蝕刻用設備

Also Published As

Publication number Publication date
TWI665726B (zh) 2019-07-11
JP6320248B2 (ja) 2018-05-09
US9324575B2 (en) 2016-04-26
KR20150104043A (ko) 2015-09-14
KR102358732B1 (ko) 2022-02-04
EP2916347A1 (en) 2015-09-09
CN104900511A (zh) 2015-09-09
US20150255305A1 (en) 2015-09-10
JP2015181143A (ja) 2015-10-15
CN104900511B (zh) 2017-10-10

Similar Documents

Publication Publication Date Title
TW201546896A (zh) 電漿蝕刻方法及電漿蝕刻裝置
TWI540637B (zh) Plasma etching method
TWI549178B (zh) A plasma etch method, a plasma etch apparatus, and a memory medium
JP5192209B2 (ja) プラズマエッチング装置、プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
TWI731101B (zh) 蝕刻處理方法
JP4827081B2 (ja) プラズマエッチング方法およびコンピュータ読み取り可能な記憶媒体
US8404595B2 (en) Plasma processing method
TW201801180A (zh) 蝕刻方法
JP5764186B2 (ja) プラズマエッチング装置およびプラズマエッチング方法
KR20160028370A (ko) 에칭 방법
JP5323306B2 (ja) プラズマエッチング方法およびコンピュータ読取可能な記憶媒体
JP2010219491A (ja) プラズマエッチング方法、プラズマエッチング装置および記憶媒体
TW201717276A (zh) 蝕刻方法
TWI722187B (zh) 蝕刻方法
TW201705265A (zh) 蝕刻方法
KR100798160B1 (ko) 플라즈마 에칭방법
TW201637092A (zh) 蝕刻方法(二)