TW201523739A - 用以調整鰭式場效電晶體元件之鰭高的氣體團簇離子束蝕刻方法 - Google Patents

用以調整鰭式場效電晶體元件之鰭高的氣體團簇離子束蝕刻方法 Download PDF

Info

Publication number
TW201523739A
TW201523739A TW103128661A TW103128661A TW201523739A TW 201523739 A TW201523739 A TW 201523739A TW 103128661 A TW103128661 A TW 103128661A TW 103128661 A TW103128661 A TW 103128661A TW 201523739 A TW201523739 A TW 201523739A
Authority
TW
Taiwan
Prior art keywords
gcib
etching
substrate
fin
gas
Prior art date
Application number
TW103128661A
Other languages
English (en)
Other versions
TWI550724B (zh
Inventor
Luis Fernandez
Edmund Burke
Original Assignee
Tel Epion Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tel Epion Inc filed Critical Tel Epion Inc
Publication of TW201523739A publication Critical patent/TW201523739A/zh
Application granted granted Critical
Publication of TWI550724B publication Critical patent/TWI550724B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • H01L21/31055Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching
    • H01L21/31056Planarisation of the insulating layers involving a dielectric removal step the removal being a chemical etching step, e.g. dry etching the removal being a selective chemical etching step, e.g. selective dry etching through a mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本發明係描述一種用於調整鰭式場效電晶體(finFET)元件中之鰭高的氣體團簇離子束(GCIB)蝕刻方法。該方法包含:提供一基板,該基板具有鰭狀物結構、及完全覆蓋該鰭狀物結構且填充該鰭狀物結構之各鰭狀物間之區域的間隙填充材料層,其中,各鰭狀物包含形成於其頂面上的帽蓋層;以及使間隙填充材料層平坦化,直到帽蓋層在鰭狀物結構之至少一鰭狀物上露出為止。此外,該方法包含:為鰭狀物結構設定目標鰭高,其中,該目標鰭高係從帽蓋層與鰭狀物結構之間的界面開始量測;以及使該基板曝露於GCIB,並使間隙填充材料層相對於帽蓋層凹陷直到該目標鰭高實質上達成為止。

Description

用以調整鰭式場效電晶體元件之鰭高的氣體團簇離子束蝕刻方法
相關申請案之交互參照
依據37 C.F.R §1.78(a)(4),本申請案主張於2013年8月21日所提申之共同申請中的美國臨時申請案第61/868,095號之優先權,該申請案藉由參照其整體內容而特此併入於本文中。
本發明係關於一種氣體團簇離子束(GCIB)處理。
相關技術之描述
典型地,在積體電路(IC)的製造期間,半導體生產設備係利用(乾式)電漿蝕刻處理以沿著細線或在圖案化於半導體基板上的穿孔或接觸窗內移除或蝕刻材料。使電漿蝕刻製程成功需要的是:蝕刻化學品包含適用於選擇性地蝕刻一材料、同時以實質上較低之速率蝕刻另一材料的化學反應物。此外,使電漿蝕刻製程成功需要的是:可達成可接受的輪廓控制,同時均勻地對基板施用蝕刻製程。
在目前的IC元件中,含矽與含鍺的材料係為半導體處理中的支柱。然而,更多的特殊材料亦被導入至半導體處理以改善IC元件的各種電性質。例如,在前段製程(front-end-of-line, FEOL)半導體處理中,使用高介電常數(高k)材料作為電晶體閘極介電質係理想的。初期用於此任務中的高k材料係為氧化鉭材料及氧化鋁材料。目前,預期鉿類介電質以及或許鑭類介電質可加入生產以作為閘極介電質。此外,在電子元件的未來世代中,於FEOL半導體處理中使用含金屬材料作為電晶體閘極電極係理想的。目前,預期含有Ti、Ta、及/或Al(例如TiN、TaN、Al2 O3 、及TiAl)的金屬電極可加入生產作為金屬電極。當然,將新穎材料導入至半導體處理並非僅限於FEOL操作,而在後段製程(back-end-of-line, BEOL)操作的金屬化製程中亦是趨勢。此外,在先進記憶體元件中,可使用及導入新穎且特殊的材料,包含Fe、Co、Ni、及其合金,以及貴金屬。
在電子元件處理中具有現行材料並且存在這些新穎材料的情況下,蝕刻這些現行及新穎材料、同時維持先前存在之層及/或結構的完整性之能力會面臨難以克服的挑戰。傳統的蝕刻製程可能無法達到這些材料的實用之蝕刻速率、或達到相對於下層或上層材料的可接受之蝕刻選擇性。此外,習知的蝕刻製程可能無法達成均勻施用於整片基板的可接受之輪廓控制。
本發明之實施例係關於GCIB處理。具體而言,本發明之實施例係關於GCIB蝕刻處理。此外,本發明之實施例係關於用以達成目標蝕刻製程指標的各種材料之GCIB蝕刻處理。又,進一步地,本發明之實施例係關於可應用於處理三維(3D)或非平面閘極結構(例如鰭式場效電晶體(fin-Field-Effect-Transistors, finFETs))的GCIB蝕刻處理。
根據一實施例,係描述用於調整finFET元件中之鰭高的氣體團簇離子束(GCIB)蝕刻方法。該方法包含以下步驟:提供一基板,該基板具有鰭狀物結構、及完全覆蓋該鰭狀物結構且填充該鰭狀物結構之各鰭狀物間之區域的間隙填充材料層,其中,各鰭狀物包含形成於其頂面上的帽蓋層;使間隙填充材料層平坦化,直到帽蓋層在鰭狀物結構之至少一鰭狀物上露出為止;為鰭狀物結構設定目標鰭高,該目標鰭高係從帽蓋層與鰭狀物結構之間的界面開始量測;根據達成超過1.5之蝕刻選擇性的製程條件,由蝕刻製程組成物建立GCIB,該蝕刻選擇性係定義為間隙填充材料層的蝕刻速率與帽蓋層的蝕刻速率之間的比例;及使基板曝露於GCIB,並使間隙填充材料層相對於帽蓋層凹陷,直到目標鰭高實質上達成為止。
使用氣體團簇離子束(gas cluster ion beam, GCIB)處理以蝕刻基板上之層(包括含矽層、含鍺層、含金屬層、及半導體層)的方法係描述於各種實施例中。熟習相關技藝者應瞭解到,可在缺少一或更多特定細節的情況下,或在有其它替代及/或額外之方法、材料、或元件的情況下,實施各種實施例。在其它情況下,為了避免混淆本發明之各種實施例的態樣,將不詳細顯示或描述為人所熟知的結構、材料、或操作。同樣地,為了說明之目的,係提出特定之數量、材料、及配置以提供本發明之通盤理解。然而,本發明可在缺乏特定細節的情況下加以實施。此外,應當瞭解的是,圖式中所顯示的各種實施例係為說明性表示且未必按比例繪製。
在整篇說明書中提及「一實施例(one embodiment)」或「一實施例(an embodiment)」意謂與該實施例有關而描述的特定之特徵、結構、材料、或特性係包含於本發明之至少一實施例中,但並非代表其存在於每一實施例中。因此,在整篇說明書各處出現的用語「在一實施例中(in one embodiment)」或「在一實施例中(in an embodiment)」未必是指本發明之相同的實施例。此外,可在一或更多實施例中將特定之特徵、結構、材料、或特性以任何適當的方式結合。在其他實施例中,可包含各種額外的層及/或結構;及/或可省略所述的特徵。
根據本發明,如本文中所使用的「基板」係泛指受處理之物件。基板可包含元件(尤其是半導體或其它電子元件)的任何材料部分或結構,且可例如為基體基板結構(例如半導體晶圓)、或在基體基板結構上或上方的層(例如薄膜)。因此,並無意將基板限定為任何特定的基體結構、下方層或上方層、圖案化者或未圖案化者,而是打算使基板包含任何此種層或基體結構、以及層及/或基體結構之任何組合。以下說明可能會提及特定類型的基板,但此僅用於說明之目的而非限制。
如前面部分中所描述,除了其他製程結果之外,蝕刻速率、蝕刻選擇性、包括關鍵尺寸(critical dimension, CD)控制的輪廓控制、以及表面粗糙度提供了用以判定成功之圖案蝕刻的必要指標。例如,當使特徵部圖案轉移至基板上之材料層中時,以足供適當之製程產能的速率選擇性地蝕刻一材料,同時控制圖案表面以及附近表面的圖案輪廓與表面粗糙度係重要的。此外,均勻地控制形成於基板上之材料層中之所有特徵圖案的蝕刻速率、蝕刻選擇性、及蝕刻輪廓,及/或空間地調整形成於基板上之材料層中之特徵圖案的這些參數控制係重要的。
因此,根據各種實施例,係描述一種蝕刻基板上之材料(例如含矽材料、含鍺材料、含金屬材料、半導體材料、及/或硫族化合物材料)的方法。現參照圖式,其中相似的元件符號係代表若干圖式之間的對應部件,圖1提供了流程圖1,其根據一實施例顯示用於蝕刻基板上之各種材料的方法。此外,用於蝕刻基板的示例性方法係以圖示方式顯示於圖2A及2B中。
流程圖1中所示的方法始於步驟10,其中係維持基板固持件周圍的減壓環境,基板固持件係用以將基板22固持於氣體團簇離子束(GCIB)處理系統中。基板22可包含第一材料、第二材料、及使第一材料及/或第二材料曝露的表面。GCIB處理系統可包含以下圖5、6、或7中所述的GCIB處理系統(100、100'、或100")之任一者,或其任何組合。
如圖2A中所示,可使用GCIB 25來蝕刻覆蓋基板22之至少一部分20的材料層24。例如,第一材料可包含材料層24,而第二材料可包含基板22。使第一材料及/或第二材料曝露的表面可包含在材料層24之蝕刻期間的材料層24之上表面、或一旦蝕刻進展穿過材料層24後材料層24與基板22之間的界面。
替代地,如圖2B中所示,可使用GCIB 25'來蝕刻覆蓋基板22之至少一部分20'的材料層24',而將形成於遮罩層26中的第一圖案27轉移至材料層24',以於其中產生第二圖案28。例如,第一材料可包含遮罩層26,而第二材料可包含材料層24'。使第一材料及/或第二材料曝露的表面可包含遮罩層26的曝露表面及材料層24'的曝露表面。
如圖2B中所示,係在材料層24'之上或上方製備其中形成有第一圖案27的遮罩層26。可藉由以一層輻射敏感材料(例如光阻)來塗佈基板22以形成遮罩層26。舉例來說,可使用旋轉塗佈技術(例如那些藉助於軌道系統的製程)將光阻塗佈至基板。此外,例如,利用微影系統使光阻層曝露於影像圖案,並在之後,於顯影溶液中將該影像圖案顯影,以在光阻層中形成圖案。
光阻層可包含248 nm(奈米)的抗蝕劑、193 nm的抗蝕劑、157 nm的抗蝕劑、或極紫外線(extreme ultraviolet, EUV)抗蝕劑。可使用軌道系統以形成光阻層。例如,軌道系統可包含可由Tokyo Electron Limited(TEL)所購得之CLEAN TRACK ACT8、ACT12、或LITHIUS抗蝕劑塗佈及顯影系統。對於那些熟習旋轉塗佈抗蝕劑技術之技藝者而言,用以在基板上形成光阻膜的其他系統與方法係為人所熟知的。
對電磁(EM)輻射圖案的曝露可在乾式或濕式光微影系統中進行。可使用任何適合的習知步進式微影系統或掃描式微影系統來形成影像圖案。例如,光微影系統可由ASML Netherlands B.V. (De Run 6501, 5504 DR Veldhoven, The Netherlands)或Canon USA, Inc., Semiconductor Equipment Division (3300 North First Street, San Jose, CA  95134)所購得。
顯影製程可包含:在顯影系統(例如軌道系統)中使基板曝露於顯影溶液。例如,軌道系統可包含可由Tokyo Electron Limited(TEL)所購得之CLEAN TRACK ACT8、ACT12、或LITHIUS抗蝕劑塗佈及顯影系統。
可使用濕式剝除製程、乾式電漿灰化製程、或乾式非電漿灰化製程將光阻層移除。
遮罩層26可包含複數個層,其中,形成於遮罩層26中的第一圖案27可使用濕式處理技術、乾式處理技術、或此兩種技術之組合來產生。對於那些熟習微影與圖案蝕刻技術之技藝者而言,形成具有單一層或複數層的遮罩層26係已知的。一旦第一圖案27形成於遮罩層26中,則可利用遮罩層26將下方層圖案化。
又,替代地,如圖2C中所示,可使用GCIB 25''來蝕刻覆蓋基板22之至少一部分20''的第一材料層24''及第二材料層24''',以例如使第一材料層24''與第二材料層24'''平坦化。舉例來說,第一材料可包含第一材料層24'',而第二材料可包含第二材料層24'''。使第一材料及/或第二材料曝露的表面可包含第一材料層24''的曝露表面及第二材料層24'''的曝露表面。
在步驟11中繼續進行該方法,其中係在GCIB處理系統的減壓環境中穩固地固持基板22。可控制或可不控制基板22的溫度。例如,在GCIB處理製程期間,可加熱或冷卻基板22。此外,基板22可包含導電材料、半導電材料、或介電質材料、或其二或更多之任何組合。例如,基板22可包含半導體材料,例如矽、絕緣層上矽(silicon-on-insulator, SOI)、鍺、或其組合。此外,例如,基板22可包含結晶矽。
進一步地,基板22可包含位於基板22之部分(20、20'、20'')上的第一及/或第二材料層(24、24'、24''、24'''、26)。第一及/或第二材料層(24、24'、24''、24'''、26)可包括含矽材料及/或含鍺材料。該含矽材料可包含Si及選自於由O、N、C、及Ge所組成之群組的至少一元素。該含鍺材料可包含Ge及選自於由O、N、C、及Si所組成之群組的至少一元素。
舉例來說,第一及/或第二材料層(24、24'、24''、24'''、26)可包含矽、摻雜的矽、未摻雜的矽、非晶矽、單晶矽、多晶矽、氧化矽(SiOx ,其中x>0;例如SiO2 )、氮化矽(SiNy ,其中y>0;例如SiN1.33 、或Si3 N4 )、碳化矽(SiCz ,其中z>0)、氮氧化矽(SiOx Ny ,其中x、y>0)、碳氧化矽(SiOx Cy ,其中x、y>0)、碳氮化矽(SiCx Ny ,其中x、y>0)、或矽鍺(Six Ge1-x ,其中x為Si的原子分數、1-x為Ge的原子分數、且0<1-x<1)。以上所列材料之任一者均可摻雜有或注入有選自於由B、C、H、N、P、As、Sb、O、S、Se、Te、F、Cl、Br、及I所組成之群組的元素。進一步地,以上所列材料之任一者均可摻雜有或注入有金屬、鹼金屬、鹼土金屬、稀土金屬、過渡金屬、或後過渡金屬(post-transition metal)。又,進一步地,以上所列材料之任一者均可呈非晶相或結晶相。
此外,第一及/或第二材料層(24、24'、24''、24'''、26)可包括含金屬材料。該含金屬材料可包含鹼金屬、鹼土金屬、過渡金屬、後過渡金屬、貴金屬、或稀土金屬。該含金屬材料可包含選自於由Sc、Y、Zr、Hf、Nb、Ta、V、Cr、Mo、W、Mn、Re、Fe、Ru、Co、Rh、Ni、Pd、Pt、Cu、Ag、Au、Zn、Cd、B、Al、Ga、In、及Sn所組成之群組的過渡金屬或後過渡金屬。該含金屬材料可包含金屬、金屬合金、金屬氧化物、金屬氮化物、金屬碳化物、金屬矽化物、金屬鍺化物、金屬硫化物等等。
此外,第一及/或第二材料層(24、24'、24''、24'''、26)亦可包含半導體材料。該半導體材料可包含化合物半導體,例如,III-V族化合物(例如GaAs、GaN、GaP、InAs、InN、InP等等)、II-V族化合物(例如Cd3 P2 等等)、或II-VI族化合物(例如ZnO、ZnSe、ZnS等等)(II族、III族、V族、VI族係指元素週期表中傳統的或舊的IUPAC標記;根據修訂的或新的IUPAC標記,這些族係分別對應2族、13族、15族、16族)。材料層(24、24')亦可包含硫族化合物(例如硫化物、硒化物、碲化物)。
又,進一步地,第一及/或第二材料層(24、24'、24''、24'''、26)可包含光阻(例如以上所列抗蝕劑材料之一者)、軟遮罩層、硬遮罩層、抗反射塗佈(anti-reflective coating, ARC)層、有機平坦化層(organic planarization layer, OPL)、或有機介電質層(organic dielectric layer, ODL)、或其二或更多者之組合。
在一範例中,第一材料包含光阻,而第二材料包括含矽材料、含鍺材料、含金屬材料、半導體材料、或硫族化合物材料。在另一範例中,第一材料包含矽,而第二材料包括含矽材料,該含矽材料具有Si及選自於由O、N、C、及Ge所組成之群組的一或更多元素。矽可包含摻雜的矽、未摻雜的矽、p摻雜的矽、n摻雜的矽、結晶矽、非晶矽、單晶矽(或單晶體矽)、多晶矽等等。在另一範例中,第一材料包括含矽材料,而第二材料包括含鍺材料。在又另一範例中,第一材料包括含矽材料,而第二材料包括含金屬材料。
在步驟12中,選定一或更多目標蝕刻製程指標。如前面所指出且將於以下進行更詳細討論者,目標蝕刻製程指標可包含第一材料的蝕刻速率、第二材料的蝕刻速率、第一材料與第二材料之間的蝕刻選擇性、第一材料的表面粗糙度、第二材料的表面粗糙度、第一材料的蝕刻輪廓、及第二材料的蝕刻輪廓。
在步驟13中,氣體團簇離子束(GCIB)係由含有至少一蝕刻氣體的加壓氣體混合物所形成。該至少一蝕刻氣體可包含鹵素元素。該至少一蝕刻氣體可包含鹵素元素及選自於由C、H、N、及S所組成之群組的一或更多元素。該至少一蝕刻氣體可包含鹵素元素及選自於由Si及Ge所組成之群組的一或更多元素。
例如,該至少一蝕刻氣體可包含F2 、Cl2 、Br2 、NF3 、或SF6 。此外,例如,該至少一蝕刻氣體可包含鹵化物,例如,HF、HCl、HBr、或HI。又,此外,例如,該至少一蝕刻氣體可包含鹵代矽烷或鹵代鍺烷,例如,單取代的鹵代矽烷或鹵代鍺烷(SiH3 F、GeH3 F等等)、雙取代的鹵代矽烷或鹵代鍺烷(SiH2 F2 、GeH2 F2 等等)、三取代的鹵代矽烷或鹵代鍺烷(SiHF3 、GeHF3 等等)、或四取代的鹵代矽烷或鹵代鍺烷(SiF4 、GeF4 、SiCl4 、GeCl4 、SiBr4 、或GeBr4 )。此外,舉例來說,該至少一蝕刻氣體可包含鹵代甲烷,例如,單取代的鹵代甲烷(例如CH3 F、CH3 Cl、CH3 Br、CH3 I)、雙取代的鹵代甲烷(例如CH2 F2 、CH2 ClF、CH2 BrF、CH2 FI、CH2 Cl2 、CH2 BrCl、CH2 ClI、CH2 Br2 、CH2 BrI、CH2 I2 )、三取代的鹵代甲烷(例如CHF3 、CHClF2 、CHBrF2 、CHF2 I、CHCl2 F、CHBrClF、CHClFI、CHBr2 F、CHBrFI、CHFI2 、CHCl3 、CHBrCl2 、CHCl2 I、CHBr2 Cl、CHBrClI、CHClI2 、CHBr3 、CHBr2 I、CHBrI2 、CHI3 )、或四取代的鹵代甲烷(例如CF4 、CClF3 、CBrF3 、CF3 I、CCl2 F2 、CBrClF2 、CClF2 I、CBr2 F2 、CBrF2 I、CF2 I2 、CCl3 F、CBrCl2 F、CCl2 FI、CBr2 ClF、CBrClFI、CClFI2 、CBr3 F、CBr2 FI、CBrFI2 、CFI3 、CCl4 、CBrCl3 、CCl3 I、CBr2 Cl2 、CBrCl2 I、CCl2 I2 、CBr3 Cl、CBr2 ClI、CBrClI2 、CClI3 、CBr4 、CBr3 I、CBr2 I2 、CBrI3 、CI4 )。
為了形成GCIB,應選定蝕刻氣體的組分,使其在相當高的壓力(例如一大氣壓或更大的壓力)下,獨自地或與載體氣體(例如稀有氣體元素或氮)結合地存在於氣相中。
在一實施例中,當蝕刻含矽及/或含鍺材料時,該至少一蝕刻氣體包含選自於由F、Cl、及Br所組成之群組的鹵素元素。該至少一蝕刻氣體可更包含Si、Ge、N、S、C、或H,或C及H兩者。例如,該至少一蝕刻氣體可包含鹵化物、鹵代矽烷、鹵代鍺烷、或鹵代甲烷。此外,例如,該至少一蝕刻氣體可包含SiF4 、CHF3 、SF6 、NF3 、F2 、Cl2 、Br2 、HF、HCl、HBr、CClF3 、CBrF3 、CHClF2 、或C2 ClF5 ,或其二或更多者之任何組合。
在另一實施例中,當蝕刻含矽及/或含鍺材料時,該至少一蝕刻氣體包含兩種不同的鹵素元素。第一鹵素元素可選自於由Cl及Br所組成之群組,而第二鹵素元素可包含F。該至少一蝕刻氣體可更包含C、或H,或C及H兩者。例如,該至少一蝕刻氣體可包含鹵化甲烷。此外,例如,該至少一蝕刻氣體可包含CClF3 、CBrF3 、CHClF2 、或C2 ClF5 ,或其二或更多者之任何組合。
在另一實施例中,當蝕刻含有Si及選自於由O、C、N、及Ge所組成之群組的一或更多元素之含矽材料時,該至少一蝕刻氣體包含鹵素元素及選自於由Si、Ge、N、S、C、及H所組成之群組的一或更多元素。例如,蝕刻氣體可包含鹵代矽烷或鹵代甲烷。此外,例如,蝕刻氣體可包含SiF4 、CH3 F、CH3 Cl、CH3 Br、CHF3 、CHClF2 、CHBrF2 、CH2 F2 、CH2 ClF、CH2 BrF、CHCl2 F、CHBr2 F、CHCl3 、CHBrCl2 、CHBr2 Cl、或CHBr3 ,或其二或更多者之任何組合。
在另一實施例中,當蝕刻含金屬材料時,蝕刻氣體包含選自於由F、Cl、及Br所組成之群組的鹵素元素。蝕刻氣體可更包含Si、Ge、N、S、C、或H,或C及H兩者。例如,蝕刻氣體可包含鹵化物、鹵代矽烷、鹵代鍺烷、或鹵代甲烷。此外,例如,蝕刻氣體可包含SF6 、NF3 、F2 、Cl2 、Br2 、HF、HCl、HBr、CClF3 、CBrF3 、CHClF2 、或C2 ClF5 ,或其二或更多者之任何組合。
在另一實施例中,當蝕刻含金屬材料時,蝕刻氣體包含兩種不同的鹵素元素。第一鹵素元素可選自於由Cl及Br所組成之群組,而第二鹵素元素可包含F。蝕刻氣體可更包含C、或H,或C及H兩者。例如,蝕刻氣體可包含鹵代甲烷。此外,例如,蝕刻氣體可包含CClF3 、CBrF3 、CHClF2 、或C2 ClF5 ,或其二或更多者之任何組合。
在又另一實施例中,當蝕刻硫族化合物材料時,蝕刻氣體包含鹵素元素。例如,蝕刻氣體可包含鹵化物、鹵代矽烷、鹵代鍺烷、或鹵代甲烷。此外,例如,蝕刻氣體可包含F2 、Cl2 、Br2 、HF、HCl、HBr、NF3 、SF6 、SiF4 、CH3 F、CH3 Cl、CH3 Br、CHF3 、CHClF2 、CHBrF2 、CH2 F2 、CH2 ClF、CH2 BrF、CHCl2 F、CHBr2 F、CHCl3 、CHBrCl2 、CHBr2 Cl、或CHBr3 ,或其二或更多者之任何組合。
該至少一蝕刻氣體可包含第一蝕刻氣體及第二蝕刻氣體。在一實施例中,第一蝕刻氣體含有Cl或Br,而第二蝕刻氣體含有F。例如,第一蝕刻氣體可含有Cl2 ,而第二蝕刻氣體可含有NF3 。在另一實施例中,第一蝕刻氣體含有鹵代甲烷或鹵化物,而第二蝕刻氣體含有F、Cl、或Br。在另一實施例中,第一蝕刻氣體含有C、H、及鹵素元素,而第二蝕刻氣體含有F、Cl、或Br。例如,第一蝕刻氣體可含有CHF3 、CHCl3 、或CHBr3 ,而第二蝕刻氣體可含有SiF4 、SF6 、NF3 、或Cl2 。可將第一蝕刻氣體與第二蝕刻氣體連續地引入至GCIB。或者,可將第一蝕刻氣體與第二蝕刻氣體交替且相繼地引入至GCIB。
加壓氣體混合物可更包括:含有鹵素元素的化合物;含有F及C的化合物;含有H及C的化合物;含有C、H、及F的化合物;含有Si及F的化合物;含有Ge及F的化合物;或其二或更多者之任何組合。此外,加壓氣體混合物可更包括含氯化合物、含氟化合物、或含溴化合物。此外,加壓氣體混合物可更包括含有選自於由S、N、Si、Ge、C、F、H、Cl、及Br所組成之群組的一或更多元素之化合物。又,此外,加壓氣體混合物可更包括含矽化合物、含鍺化合物、含氮化合物、含氧化合物、或含碳化合物、或其二或更多者之任何組合。此外,加壓氣體混合物可更包含選自於由B、C、H、Si、Ge、N、P、As、O、S、F、Cl、及Br所組成之群組的一或更多元素。又,進一步地,加壓氣體混合物可更包含He、Ne、Ar、Kr、Xe、O2 、CO、CO2 、N2 、NO、NO2 、N2 O、NH3 、F2 、HF、SF6 、或NF3 ,或其二或更多者之任何組合。
又,更進一步地,GCIB可由加壓氣體混合物所產生,該加壓氣體混合物包含至少一摻雜物、或用以沈積或成長薄膜的膜形成組分、或其二或更多者之任何組合。
在另一實施例中,可藉著交替且相繼地使用含有蝕刻氣體的第一加壓氣體混合物及含有膜形成氣體的第二加壓氣體混合物而產生GCIB。又,在其他實施例中,可在蝕刻期間調整GCIB的組成及/或滯止壓力(stagnation pressure)。
在步驟14中,設定GCIB的GCIB製程條件之一或更多GCIB性質,以達成一或更多目標蝕刻製程指標。為了達成前面所提之目標蝕刻製程指標(例如蝕刻速率、蝕刻選擇性、表面粗糙度控制、輪廓控制等等),可藉由進行以下步驟來產生GCIB:選定射束加速電位、一或更多射束聚集電位、及射束劑量;根據該射束加速電位使GCIB加速;根據該一或更多射束聚集電位使GCIB集中;及根據該射束劑量將加速的GCIB照射至基板之至少一部分上。
此外,除了這些GCIB性質之外,亦可選定射束能量、射束能量分布、射束角分布、射束發散角、滯止壓力、滯止溫度、質量流率、團簇尺寸、團簇尺寸分布、射束尺寸、射束組成、射束電極電位、或氣體噴嘴設計(例如噴嘴喉徑、噴嘴長度、及/或噴嘴發散部半角)。可選定上述GCIB性質之任何一或更多者,以達成對目標蝕刻製程指標(例如那些前面所述者)的控制。此外,可改變上述GCIB性質之任何一或更多者,以達成對目標蝕刻製程指標(例如那些前面所述者)的控制。
在圖3A中,係顯示GCIB之射束能量分布函數的示意性曲線圖。例如,圖3A以圖繪方式顯示出若干射束能量分布(30A、30B、30C、30D),其中,隨著吾人在方向35上繼續進行過這些分布時,尖峰射束能量會降低且能量分布會變寬。
可沿著通過一加壓區之GCIB路徑而引導各個GCIB,俾使GCIB之至少一部分穿過加壓區,藉此修改GCIB的射束能量分布函數。對射束能量分布進行修改的程度可藉由沿著GCIB路徑之至少一部分的壓力-距離(d)積分而特徵化。當壓力-距離積分的值增加(藉由增加壓力及/或路徑長度(d))時,會使射束能量分布變寬且使尖峰能量降低。當壓力-距離積分的值減低(藉由降低壓力及/或路徑長度(d))時,會使射束能量分布變窄且使尖峰能量增加。舉例來說,吾人可使射束能量分布變寬以增加射束的發散性、或者吾人可使射束能量分布變窄以降低射束的發散性。
沿著GCIB路徑之至少一部分的壓力-距離積分可等於或大於約0.0001 torr-cm。替代地,沿著GCIB路徑之至少一部分的壓力-距離積分可等於或大於約0.001 torr-cm。又,替代地,沿著GCIB路徑之至少一部分的壓力-距離積分可等於或大於約0.01 torr-cm。舉例來說,沿著GCIB路徑之至少一部分的壓力-距離積分之範圍可為0.0001 torr-cm至0.01 torr-cm。就另一範例而言,沿著GCIB路徑之至少一部分的壓力-距離積分之範圍可為0.001 torr-cm至0.01 torr-cm。
替代地,可藉由修改或改變各個GCIB的電荷狀態而修改GCIB的射束能量分布函數。例如,可藉由下列方式來修改電荷狀態:調整用於氣體團簇的電子碰撞引發之離子化中的電子之電子通量、電子能量、或電子能量分布。
在圖3B中,係顯示GCIB之射束角分布函數的示意性曲線圖。舉例來說,圖3B以圖繪方式顯示出第一射束角分布函數40,其特徵在於入射方向45處(亦即,相對角為0°)的第一尖峰42以及第一寬度44(例如,半高全寬(full-width at half maximum, FWHM))。此外,舉例來說,圖3B顯示出第二射束角分布函數40',其特徵在於入射方向45處(亦即,相對角為0°)的第二尖峰42'以及第二寬度44'(例如,半高全寬(FWHM))。第一射束角分布函數40代表較窄的分布(或相對較窄的射束發散角),而第二射束角分布函數40'代表相對較寬的分布(或相對較寬的射束發散角)。因此,可藉由改變射束角分布函數(例如,改變第一射束角分布函數40與第二射束角分布函數40'之間的角分布)而調整相對於基板上之垂直入射的GCIB方向性。可使用所述用以修改射束能量分布函數的上述技術來修改射束角分布函數或射束發散角。
在一實施例中,GCIB製程條件的一或更多GCIB性質可包含GCIB組成、射束劑量、射束加速電位、射束聚集電位、射束能量、射束能量分布、射束角分布、射束發散角、該GCIB組成的流率、滯止壓力、滯止溫度、該GCIB通過其中之加壓區用的背景氣體壓力、或該GCIB通過其中之加壓區用的背景氣體流率(例如以下將更詳細討論的P單元值)。
在另一實施例中,設定該一或更多GCIB性質以達成該一或更多目標蝕刻製程指標可包含:設定GCIB組成分、射束加速電位、GCIB組成分的流率、及GCIB通過之加壓區用的背景氣體流率,以達成第一材料及/或第二材料的目標蝕刻速率、第一材料與第二材料之間的目標蝕刻選擇性、及第一材料及/或第二材料的目標表面粗糙度中之二或更多者。
如將於以下所示,可調整該一或更多GCIB性質以將第一材料與第二材料之間的目標蝕刻選擇性改變成小於一、實質上近似一、及大於一的值。此外,如將於以下所示,可調整該一或更多GCIB性質以將第一材料及/或第二材料的目標表面粗糙度改變成小於或等於5埃的值。又,進一步地,可調整該一或更多GCIB性質以達成相當高的第一及/或第二材料之蝕刻速率條件、或達成相當低的第一及/或第二材料之蝕刻速率條件。
在步驟15中,係根據射束加速電位使GCIB加速通過減壓環境而朝向基板22。對於GCIB而言,射束加速電位的範圍可高達100 kV、射束能量的範圍可高達100 keV、團簇尺寸的範圍可大至數萬個原子、且射束劑量的範圍可高達約每平方公分1x1017 個團簇。例如,GCIB之射束加速電位的範圍可為約1 kV至約70 kV(亦即,在假設平均團簇電荷狀態為一的情況下,射束能量的範圍可為約1 keV至約70 keV)。此外,例如,GCIB之射束劑量的範圍可為約每平方公分1x1012 個團簇至約每平方公分1x1014 個團簇。
可建立GCIB而使其具有範圍為約每原子0.25 eV至約每原子100 eV的每原子能量比。替代地,可建立GCIB而使其具有範圍為約每原子0.25 eV至約每原子10 eV的每原子能量比。替代地,可建立GCIB而使其具有範圍為約每原子1 eV至約每原子10 eV的每原子能量比。
建立具有理想之每原子能量比的GCIB可包含:選定射束加速電位、用於形成GCIB的滯止壓力、或氣體流率、或其任何組合。射束加速電位可用以增加或降低射束能量或每離子團簇之能量。舉例來說,提高射束加速電位會使最大射束能量增加,並因此使特定團簇尺寸的每原子能量比增加。此外,滯止壓力可用以增大或減小特定團簇的團簇尺寸。舉例來說,在GCIB的形成期間,滯止壓力的增加會使團簇尺寸(亦即,每團簇之原子的數量)增大,並因此使特定射束加速電位的每原子能量比降低。
在本文中,係給定射束劑量的單位為每單位面積之團簇數量。然而,射束劑量亦可包含射束電流及/或時間(例如,GCIB駐留時間)。例如,可量測射束電流並將其保持固定,而改變時間以改變射束劑量。替代地,例如,可使每單位面積團簇撞擊基板表面的速率(亦即,每單位時間之每單位面積的團簇數量)保持固定,而改變時間以改變射束劑量。
在步驟16中,將GCIB照射至基板22之表面的至少一部分上,以蝕刻基板22上的第一材料及第二材料之至少一者。
圖1中所描述的方法可更包含:改變該一或更多目標蝕刻製程指標,以創造一或更多新的目標蝕刻製程指標;及設定GCIB之額外GCIB製程條件的一或更多額外GCIB性質,以達成一或更多新的目標蝕刻製程指標。
根據另一實施例,除了以該GCIB照射基板22之外,還可使用另一GCIB以供額外的控制及/或功能。以另一GCIB(例如第二GCIB)照射基板22可在使用該GCIB之前、期間、或之後進行。例如,另一GCIB可用於以雜質對基板22之一部分進行摻雜。此外,例如,另一GCIB可用於將基板22之一部分改質以改變基板22的性質。此外,例如,另一GCIB可用於蝕刻基板22之一部分以將額外的材料由基板22移除。此外,例如,另一GCIB可用於清潔基板22之一部分以將額外的材料或殘留物(例如含鹵素殘留物)由基板22移除。又,此外,例如,另一GCIB可用於在基板22之一部分上成長或沈積材料。摻雜、改質、蝕刻、清潔、生長、或沈積步驟可包含:將選自於由He、Ne、Ar、Xe、Kr、B、C、Se、Te、Si、Ge、N、P、As、O、S、F、Cl、及Br所組成之群組的一或更多元素引入。
根據另一實施例,在以GCIB照射之前或之後,可清潔受到GCIB照射的基板22之至少一部分(20、20'、20'')。例如,該清潔處理可包含乾式清潔處理及/或濕式清潔處理。此外,在以GCIB照射之後,可對受到GCIB照射的基板22之至少一部分(20、20'、20'')進行退火。
根據另一實施例,當製備及/或蝕刻基板22時,基板22之任何部分或特徵部圖案28可受到校正處理。在校正處理期間,可使用耦接於GCIB處理系統的量測系統(原位或非原位)來獲得量測資料。該量測系統可包含任何種類的基板診斷系統,該基板診斷系統包含但不限於:光學診斷系統、X射線螢光光譜系統、四點探針系統、穿透式電子顯微鏡(transmission-electron microscope, TEM)、原子力顯微鏡(atomic force microscope, AFM)、掃描式電子顯微鏡(scanning-electron microscope, SEM)等等。此外,該量測系統可包含光學數位輪廓計(optical digital profilometer, ODP)、散射計、橢圓偏光計、反射計、干涉計、或其二或更多者之任何組合。
例如,該量測系統可構成光學散射儀系統。該散射儀系統可包含結合射束輪廓橢圓儀(橢圓偏光計)及射束輪廓反射儀(反射計)的散射計,其可由Therma-Wave, Inc.(1250 Reliance Way, Fremont, CA 94539)或Nanometrics, Inc. (1550 Buckeye Drive, Milpitas, CA 95035)所購得。此外,例如,原位的量測系統可包含整合式光學數位輪廓儀(integrated Optical Digital Profilometry, iODP)散射儀模組,其配置為在基板上量測量測資料。
該量測資料可包含參數資料,例如:與基板、形成於基板上之任何層或次層、及/或基板上之元件之任何部分相關的幾何、機械、電氣、及/或光學參數。例如,量測資料可包含能由上述之量測系統量測的任何參數。此外,例如,量測資料可包含膜厚、表面及/或界面粗糙度、表面污染、特徵部深度、溝槽深度、穿孔深度、特徵部寬度、溝槽寬度、穿孔寬度、關鍵尺寸(critical dimension, CD)、電阻、或其二或更多者之任何組合。
可在基板上之二或更多位置處量測量測資料。此外,可獲得且收集一或更多基板的此種資料。該一或更多基板可例如包含一卡匣的基板。量測資料係在該一或更多基板之至少一者上的二或更多位置處進行量測,並可例如在該一或更多基板之各者上的複數個位置處所獲得。之後,可利用資料擬合演算法使複數個基板之各者上的複數個位置從測量點擴展至未測量點。例如,該資料擬合演算法可包含內插法(線性或非線性)或外插法(線性或非線性)或其組合。
一旦使用量測系統收集到一或更多基板的量測資料後,可將此量測資料提供給控制器以計算校正資料。量測資料可透過實體連接(例如電纜)、或無線連接、或其組合而在量測系統與控制器之間流通。此外,量測資料可透過內部網路或網際網路連結而流通。替代地,量測資料可透過電腦可讀取媒體而在量測系統與控制器之間流通。
可計算校正資料以供基板之位置特殊處理。特定基板的校正資料包含用於調整GCIB劑量(其為基板上位置的函數)的製程條件,以達成與輸入之量測資料有關的參數資料與特定基板的目標參數資料之間的變化。例如,特定基板的校正資料可包含:決定使用GCIB來校正特定基板的參數資料之不一致性所用的製程條件。替代地,例如,特定基板的校正資料可包含:決定使用GCIB來產生特定基板的參數資料之特意不一致性所用的製程條件。
使用參數資料中的所期望變化與GCIB劑量之間所建立的關係、以及GCIB劑量與具有一組GCIB處理參數的GCIB製程條件之間所建立的關係,控制器可決定各基板的校正資料。舉例來說,可採用數學演算法以:取用與輸入之量測資料相關的參數資料、計算輸入之參數資料與目標參數資料之間的差異、反轉GCIB處理模式(亦即蝕刻模式或沈積模式或此兩者)以配適此差異、及創建射束劑量輪廓以使用參數資料中的變化與GCIB劑量之間的關係來達成GCIB處理模式。之後,例如,可使用射束劑量與GCIB製程條件之間的關係來決定GCIB處理參數以影響所計算出的射束劑量輪廓。GCIB處理參數可包含射束劑量、射束面積、射束輪廓、射束強度、射束掃描速率、或曝光時間(或射束駐留時間)、或其二或更多者之任何組合。
用以選擇數學演算法的許多不同方法可成功地用於此實施例中。在另一實施例中,射束劑量輪廓可選擇性地沉積額外材料,以達成參數資料中的所期望變化。
可使用GCIB將校正資料應用於基板。在校正處理期間,GCIB可配置為執行下列之至少一者:平滑化、非晶化、改質、摻雜、蝕刻、成長、或沈積、或其二或更多者之任何組合。將校正資料應用於基板可有助於基板缺陷的校正、基板表面平坦度的校正、層厚的校正、或層黏著度的改善。一旦對GCIB規格進行處理,則可在原位或非原位檢驗基板的均勻性或基板之參數資料的分布,而可適當地完成製程或使其更完善。表1
現參照圖4A至4L,其以圖表方式顯示出蝕刻基板上之材料的示例性資料。圖4A係為二氧化矽(SiO2 )的正規化蝕刻速率(作為十二個(12)GCIB製程條件之函數)之長條圖。這十二個(12)GCIB蝕刻製程的GCIB製程條件係提供於表1中。各GCIB製程條件的蝕刻速率係藉由使用Ar GCIB(列為表1中之GCIB製程條件「A」)的蝕刻速率加以正規化。
在表1中,各GCIB製程條件提供了GCIB組成、射束加速電位(kV)、及與射束能量分布函數之修改相關的P單元值。關於GCIB組成,註記「5%NF3 /N2 」係代表NF3 在N2 中的相對量(mol/mol%)。關於P單元值,如上所述,P單元值係與用以造成GCIB與背景氣體間之碰撞、且因此使射束能量分布函數變寬的引入至加壓區的背景氣體之流率(單位為sccm,即每分鐘標準毫升)有關。例如,藉由將背景氣體以40 sccm的流率(P單元值為「40」)(或壓力-距離積分為約0.005 torr-cm)引入至壓力單元,可使GCIB穿越過之壓力單元中的壓力升高。
如圖4A中所示,係判定一廣泛系列之GCIB製程條件的二氧化矽(SiO2 )之蝕刻速率。當GCIB僅含有Ar(如GCIB製程條件「A」中所示)時,蝕刻速率係受純物理性要素(例如濺鍍)的驅使。然而,圖4A及表1顯示出,可選擇GCIB組成以提供化學性要素給蝕刻製程,並增加蝕刻速率。
如圖4B中所示,長條圖繪出二氧化矽(SiO2 )與光阻之間的蝕刻選擇性(作為表1中GCIB製程條件之函數)。此蝕刻選擇性係將二氧化矽(SiO2 )之蝕刻速率與光阻(P.R.)之蝕刻速率相關聯(亦即,E/R SiO2 / E/R P.R.)。圖4B的檢視指出,CHF3 類的GCIB組成及Cl2 類的GCIB組成可提供超過一的蝕刻選擇性。
圖4C係為二氧化矽(SiO2 )及光阻(P.R.)之蝕刻速率(作為GCIB製程條件及P單元值之函數)的資料圖。三個(3)GCIB蝕刻製程的GCIB製程條件係提供於表2中。在表2中,各GCIB製程條件提供了GCIB組成、射束加速電位(kV)、及各個GCIB組成中各化學組成分的流率(sccm)。如由圖4C所明顯看出,在使用三個GCIB製程條件之任一者的情況下,二氧化矽及光阻兩者的蝕刻速率均隨著P單元值增加而降低。表2
如圖4D中所示,長條圖繪出二氧化矽(SiO2 )與光阻之間的蝕刻選擇性(作為表2中GCIB製程條件之函數)。此蝕刻選擇性係將二氧化矽(SiO2 )之蝕刻速率與光阻(P.R.)之蝕刻速率相關聯(亦即,E/R SiO2 / E/R P.R.)。圖4D的檢視指出:(1)SiO2 與P.R.之間的蝕刻選擇性係隨著漸增的P單元值而增加;(2)在添加氧於鹵代甲烷組成中的情況下,SiO2 與P.R.之間的蝕刻選擇性可稍微增加(尤其是在較高之P單元值下);及(3)CHF3 類的GCIB組成提供了比Cl2 類的GCIB組成還高的SiO2 與P.R.之間的蝕刻選擇性。
如圖4E中所示,係繪製二氧化矽(SiO2 )中的蝕刻表面之表面粗糙度的資料圖(作為表2中之GCIB製程條件及P單元值的函數)。表面粗糙度(Ra ,以埃(Å)作為測量單位)係代表平均粗糙度。粗糙度的程度可以是界面及/或表面不均勻性的量測值。舉例來說,可以數學方式將粗糙度的程度(例如表面粗糙度)特徵化為最大粗糙度(Rmax )、平均粗糙度(Ra )(如圖4E中所示)、或均方根(root-mean-square, rms)粗糙度(Rq )。圖4E的檢視指出:(1)SiO2 表面的平均粗糙度係隨著漸增的P單元值而降低;及(2)CHF3 類的GCIB組成在SiO2 上提供了比Cl2 類的GCIB組成略高的平均粗糙度。
如圖4F中所示,長條圖繪出二氧化矽(SiO2 )之蝕刻速率及二氧化矽(SiO2 )與光阻之間的蝕刻選擇性(作為表3中GCIB製程條件之函數)。此蝕刻選擇性係將二氧化矽(SiO2 )之蝕刻速率與光阻(P.R.)之蝕刻速率相關聯(亦即,E/R SiO2 / E/R P.R.)。表3中之三個(3)GCIB製程條件的GCIB組成係與表2中的相同;然而,可調整某些GCIB製程條件以達成相對低的表面粗糙度(為3埃或更小之量級)。表3
表3提供了射束加速電位、P單元值、GCIB組成中各加壓氣體的流率、及所得的蝕刻選擇性及平均粗糙度。圖4F顯示對應的相對蝕刻速率及蝕刻選擇性。明顯地,CHF3 類的GCIB組成可達成相對低的表面粗糙度且具有相對高的蝕刻選擇性。
圖4G係為光阻(P.R.)、二氧化矽(SiO2 )、及氮化矽(SiN)相對於多晶矽(Si)的蝕刻選擇性(作為20%CHF3 /He之GCIB組成之流率的函數)之長條圖。GCIB製程條件更包含60 kV的射束加速電位及為0的P單元值。當流率由350 sccm增加至550 sccm時,P.R.、SiO2 、及SiN相對於Si的蝕刻選擇性會從大於一的值衰減至小於一的值。
圖4H係為二氧化矽(SiO2 )與多晶矽(Si)之間的蝕刻選擇性(作為10%CHF3 /He之GCIB組成之GCIB製程條件的函數)之長條圖。如圖4H中所示,P單元值的增加會使SiO2 與Si之間的蝕刻選擇性增加,而流率的增加會使SiO2 與Si之間的蝕刻選擇性減低。表4
在表4中,係提供若干GCIB製程條件、及所得之蝕刻選擇性(SiO2 與Si之間)與平均粗糙度。藉由調整各種GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性的值從約1變化至約12,同時達成平均粗糙度的範圍為從約1 Å至約4 Å。
圖4I係為SiO2 之蝕刻速率、多晶矽(Si)之蝕刻速率、及SiO2 與Si之間之蝕刻選擇性(作為添加至10%CHF3 /O2 之GCIB組成之He流率的函數)的資料圖。蝕刻選擇性之峰值(約12.2)的GCIB製程條件係提供於表4中(見第6列)。在改變He流率時,GCIB製程條件中的其餘參數係保持固定。
圖4J係為光阻(P.R.)、二氧化矽(SiO2 )、及氮化矽(SiN)相對於多晶矽(Si)的蝕刻選擇性(作為10%CClF3 /He之GCIB組成之P單元值的函數)之長條圖。此GCIB製程條件更包含60 kV的射束加速電位及450 sccm的流率。隨著P單元值由0增加至40,SiO2 及SiN相對於Si的蝕刻選擇性會增加,而P.R.相對於Si的蝕刻選擇性會減低。表5
圖4K係為光阻(P.R.)、二氧化矽(SiO2 )、及氮化矽(SiN)相對於多晶矽(Si)之蝕刻選擇性(作為10%CClF3 /He之GCIB組成之射束加速電位的函數)的長條圖。GCIB製程條件更包含為0的P單元值及450 sccm的流率。隨著射束加速電位由60kV降低至10kV,P.R.、SiO2 、及SiN相對於Si的蝕刻選擇性會減低。
在表5中,係提供若干GCIB製程條件、及所得之蝕刻選擇性(Si與SiO2 之間)與Si中的平均粗糙度。各GCIB製程條件詳述了在He中含有10% CBrF3 的GCIB組成。在某些案例中,係將N2 添加至GCIB。藉由調整各種GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性的值從約0.3變化至約2.5,同時達成平均粗糙度的範圍為從約3 Å至約30 Å。例如,與增加的射束加速電位、增加的P單元值、及減低的蝕刻化合物之流率結合的N2 添加可產生最小的平均粗糙度。表6表7
在表6中,係提供若干GCIB製程條件、及所得之蝕刻選擇性(Si與SiO2 之間)與Si中的平均粗糙度。各GCIB製程條件詳述了在He中含有20% CF4 的GCIB組成。藉由調整各種GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性的值從約0.32變化至約0.54,同時達成平均粗糙度的範圍為從約2 Å至約19 Å。表8
在表7中,係提供若干GCIB製程條件、及所得之蝕刻選擇性(Si與SiN之間)與Si中的平均粗糙度。各GCIB製程條件詳述了在N2 中含有20% NF3 的GCIB組成。藉由調整各種GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性的值從約1變化至約4,同時達成平均粗糙度的範圍為從約2 Å至約60 Å。在犧牲平均粗糙度的情況下,可達成高的蝕刻速率及蝕刻選擇性。此外,Si與SiN之間的蝕刻選擇性看起來係類似於p摻雜的Si與SiN之間的蝕刻選擇性。
在表8中,係提供若干GCIB製程條件、及所得之蝕刻選擇性(Si與SiN之間的)與Si中的平均粗糙度。各GCIB製程條件詳述了在N2 中含有2%-6% Cl2 的GCIB組成。在某些案例中,係將He、Ar、或N2 添加至GCIB。藉由調整各種GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性從小於一變化至約11,同時達成平均粗糙度的範圍為從約12 Å至約105 Å。
在表9中,係提供若干GCIB製程條件、及所得之蝕刻選擇性(Si與SiN之間)與Si中的平均粗糙度。各GCIB製程條件詳述了在He中含有4%-6% Cl2 的GCIB組成。藉由調整各種GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性的值從約1.4變化至約6,同時達成平均粗糙度的範圍為從約5 Å至約40 Å。使用He作為Cl2 的載體看起來比使用N2 作為Cl2 的載體能產生更低的平均粗糙度。表9
在表10中,係提供若干GCIB製程條件、及所得之蝕刻選擇性(Si與SiN之間)與Si中的平均粗糙度。各GCIB製程條件詳述了在He中含有35% HCl的GCIB組成。藉由調整各種GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性的值從約2變化至約7,同時達成平均粗糙度的範圍為從約15 Å至約25 Å。表10
在圖4L中,係以圖表方式顯示出用以蝕刻基板上之材料的示例性資料。圖4L係為就三個(3)GCIB蝕刻製程而言若干材料之蝕刻速率的長條圖,這些材料包含NiFe、Cu、CoFe、Al、Al2 O3 、Ru、W、Mo、TaN、Ta、AlN、SiO2 、SiN、Si、SiC、光阻(P.R.)、及SiCOH。此些GCIB製程包含:(A)Ar;(B)5% NF3 /N2 ;及(C)4% Cl2 /He。此三個(3)GCIB蝕刻製程的GCIB製程條件係提供於表11中。表11
在表11中,各GCIB製程條件提供了GCIB組成、射束加速電位(kV)、與射束能量分布函數之修改相關的P單元值、及GCIB組成之流率。
如圖4L中所示,與氟類GCIB化學品相反,當使用氯類GCIB化學品時,若干含金屬材料(例如CoFe、NiFe、及Al)的蝕刻速率會傾向提高。而且,當GCIB僅含有Ar(如GCIB製程條件「A」中所示)時,蝕刻速率係受純物理性要素(例如濺鍍)的驅使。然而,圖4L及表11顯示出,可選擇GCIB組成分以提供化學性要素給蝕刻製程,並增加蝕刻速率。
在一些實施例中,本案發明人已考慮到在GCIB蝕刻處理期間使用SiF4 、NF3 、及CHF3 類的蝕刻化學品。本案發明人已觀察到,在某些情況下,可使用NF3 及SiF4 以達成若干材料(包括含Si材料)的增加之蝕刻速率。例如,在使用這些蝕刻劑的情況下,可觀察到Si與SiO2 的增加之蝕刻速率。並且,例如,在某些條件下使用這些蝕刻劑的情況下,可觀察到SiN的增加之蝕刻速率。然而,SiF4 常可能是較佳的,此乃由於減少的微粒污染之故。本案發明人亦已觀察到,對於表面粗糙度,SiF4 可產生良好的結果,同時達到蝕刻速率規格及蝕刻選擇性的要求。例如,相對於使用CHF3 作為蝕刻劑,SiF4 可增加某些材料(例如含Si材料)的蝕刻速率並降低表面粗糙度,且進一步地,相對於使用NF3 作為蝕刻劑,SiF4 可減少微粒污染。
圖4M係為c-Si(結晶Si)(實心圓形)、SiN(實心菱形)、及SiO2 (實心方形)之蝕刻速率(作為在N2 作為載體氣體中之5% SiF4 的總流率之函數)的資料圖。在60 kV加速電位且沒有P單元條件(0 pc)的情況下,可達成這些材料之間的蝕刻選擇性(作為總流率之函數)。關於c-Si中的表面粗糙度,在60 kV下,對於400 sccm的5% SiF4 /N2 且P單元值為20、35、及50而言,分別可達到8.4 Å、4.0 Å、及2.3 Å的平均粗糙度。當使用10%SiF4 /N2 時,對於35或50的P單元值而言平均粗糙度較大。當以He取代N2 作為載體氣體時,在高總流率下,就蝕刻速率、蝕刻選擇性、及粗糙度而言可達到類似的結果。並且,相對於NF3 ,使用SiF4 可達到較高的Si之蝕刻速率。
圖4N係為c-Si(結晶Si)(實心圓形)、SiN(實心菱形)、及SiO2 (實心方形)之蝕刻速率(作為在以He作為載體氣體中之20% SiF4 的總流率之函數)的資料圖。在60 kV加速電位且P單元值為20的情況下,可達成這些材料之間的蝕刻選擇性(作為總流率之函數)。就此條件而言,可在約550 sccm的總流率下觀察到尖峰蝕刻速率。此外,c-Si(結晶Si)(空心圓形)、SiN(空心菱形)、及SiO2 (空心方形)之蝕刻速率的資料圖係顯示為在以He作為載體氣體中之20% SiF4 的總流率之函數。在30 kV加速電位且P單元值為20的情況下,可達成這些材料之間的蝕刻選擇性(作為總流率之函數)。就此條件而言,可在約450 sccm的總流率下觀察到尖峰蝕刻速率。
圖4O係為c-Si(結晶Si)(實心圓形)、SiN(實心菱形)、及SiO2 (實心方形)之蝕刻速率(作為在以He作為載體氣體中之20% SiF4 的總流率之函數)的資料圖。在30 kV加速電位且P單元值為20的情況下,可達成這些材料之間的蝕刻選擇性(作為總流率之函數)。就此條件而言,可在約550 sccm的總流率下觀察到尖峰蝕刻速率。此外,c-Si(結晶Si)(空心圓形)、SiN(空心菱形)、及SiO2 (空心方形)之蝕刻速率的資料圖係顯示為在以He作為載體氣體中之20% SiF4 的總流率之函數。在10 kV加速電位且沒有P單元條件(0 pc)的情況下,可達成這些材料之間的蝕刻選擇性(作為總流率之函數)。就此條件而言,可觀察到SiN與Si之間的高蝕刻選擇性。
圖4P係為c-Si(結晶Si)(空心圓形)、SiN(空心菱形)、及SiO2 (空心方形)之蝕刻速率(作為在以He作為載體氣體中之20% SiF4 的P單元值之函數)的資料圖。在60 kV加速電位且總流率為450 sccm的情況下,可達成這些材料之間的蝕刻選擇性(作為P單元值之函數)。
圖4Q係為W(實心圓形)及SiO2 (實心方形)之蝕刻速率(作為在以He作為載體氣體中之20% CHF3 的P單元值之函數)的資料圖。在60 kV加速電位且總流率為400 sccm的情況下,可達成這些材料之間的蝕刻選擇性(作為P單元值之函數)。
現參照圖5,根據一實施例顯示如前述之用以處理基板的GCIB處理系統100。GCIB處理系統100包含真空容室102、供待處理之基板152固定於其上的基板固持件150、及真空泵抽系統170A、170B、及170C。基板152可為半導體基板、晶圓、平板顯示器(FPD)、液晶顯示器(LCD)、或任何其他工作件。GCIB處理系統100係配置為產生用以處理基板152的GCIB。
仍參照圖5中的GCIB處理系統100,真空容室102包含三個連通的腔室,即來源腔室104、離子化/加速腔室106、及處理腔室108,以提供減壓的封閉空間。藉由真空泵抽系統170A、170B、及170C將此三個腔室分別抽空至適當的操作壓力。在此三個連通的腔室104、106、108中,氣體團簇射束可形成於第一腔室(來源腔室104)中,而GCIB可形成於在其中使氣體團簇射束離子化並加速的第二腔室(離子化/加速腔室106)中。接著,在第三腔室(處理腔室108)中,可使用加速的GCIB對基板152進行處理。
如圖5中所示,GCIB處理系統100可包含一或更多氣體來源,該一或更多氣體來源係配置為將一或更多氣體或氣體之混合物引入至真空容室102。例如,使儲存於第一氣體來源111中的第一氣體組成分在加壓下通過第一氣體控制閥113A而進入至一或多個氣體計量閥113。此外,例如,使儲存於第二氣體來源112中的第二氣體組成分在加壓下通過第二氣體控制閥113B而進入至一或多個氣體計量閥113。進一步地,例如,第一氣體組成分或第二氣體組成分或此兩者可包含可壓縮之惰性氣體、載體氣體或稀釋氣體。舉例來說,惰性氣體、載體氣體或稀釋氣體可包含稀有氣體,亦即,He、Ne、Ar、Kr、Xe、或Rn。
此外,可單獨或結合彼此地使用第一氣體來源111及第二氣體來源112以產生離子化團簇。材料組成分可包含欲與材料層起反應或欲被引入至材料層的元素之主要原子或分子物種。
包含第一氣體組成分或第二氣體組成分或此兩者的高壓、可壓縮氣體係通過氣體供應管114而引入至滯止腔室116中,並係通過經適當成形的噴嘴110而噴射至實質上較低壓力之真空中。由於高壓、可壓縮氣體從滯止腔室116到來源腔室104之較低壓力區域的膨脹所致,氣體速度係加速至超音速,且氣體團簇射束118會從噴嘴110射出。
當靜焓與由噴射流中之膨脹所引起的動能互換時,噴射流的固有冷卻效應會使氣體噴射之一部分壓縮並形成具有團簇的氣體團簇射束118,各團簇係由數個至數千個弱接合的原子或分子所組成。位於來源腔室104與離子化/加速腔室106間之噴嘴110的出口之下游處的氣體分離器120,係部分地使氣體團簇射束118之周圍邊緣上的氣體分子(其可能不會被壓縮成團簇)與氣體團簇射束118之核心中的氣體分子(其可能已形成團簇)分離。除了其他原因之外,氣體團簇射束118之一部分的這種選擇可導致下游區域中的壓力降低,在下游區域(例如解離器122、及處理腔室108)中較高的壓力可能是不利的。此外,氣體分離器120定義了進入離子化/加速腔室106之氣體團簇射束的初始尺寸。
GCIB處理系統100亦可包含具有一或更多分離器開口的複數個噴嘴。關於多氣體團簇離子束系統之設計的額外細節係提供於2009年4月23日所提申、名為「Multiple Nozzle Gas Cluster Ion Beam System」的美國專利申請案第2010/0193701A1公開號中;及2010年3月26日所提申、名為「Multiple Nozzle Gas Cluster Ion Beam Processing System and Method of Operating」的美國專利申請案第2010/0193472A1公開號中,此二件專利申請案的內容係藉由參照其整體內容而併入於本文中。
在氣體團簇射束118已形成於來源腔室104中之後,可藉由解離器122將氣體團簇射束118中的組分氣體團簇離子化以形成GCIB 128。解離器122可包含由一或更多燈絲124產生電子的電子撞擊解離器,電子會被加速且引導,以與離子化/加速腔室106內部之氣體團簇射束118中的氣體團簇碰撞。在與氣體團簇發生碰撞撞擊時,具有足夠能量的電子會使電子從氣體團簇中的分子射出以產生離子化的分子。氣體團簇的離子化會導致帶電之氣體團簇離子(通常具有淨正電荷)的群體。
如圖5中所示,射束電子裝置130係用以將GCIB 128離子化並抽取、加速、及聚集GCIB 128。射束電子裝置130包含燈絲電源供應器136,燈絲電源供應器136可提供電壓VF 以加熱解離器燈絲124。
此外,射束電子裝置130包含位於離子化/加速腔室106中的一組被適當偏壓的高電壓電極126,高電壓電極126可將團簇離子由解離器122抽取出。高電壓電極126接著會將抽取出的團簇離子加速至所期望之能量,並將它們聚集而定義出GCIB 128。GCIB 128中之團簇離子的動能其範圍通常從約1000電子伏特(1 keV)至數十keV。例如,可將GCIB 128加速到1至100 keV。
如圖5中所示,射束電子裝置130更包含陽極電源供應器134,陽極電源供應器134可將電壓VA 提供至解離器122的陽極,以使從解離器燈絲124射出的電子加速、並使電子轟擊氣體團簇射束118中的氣體團簇,而這將產生團簇離子。
此外,如圖5中所示,射束電子裝置130包含抽取電源供應器138,抽取電源供應器138可提供電壓VEE 以將高電壓電極126之至少一者偏壓,俾從解離器122的離子化區域抽取離子並且形成GCIB 128。例如,抽取電源供應器138可將一電壓提供至高電壓電極126的第一電極,此電壓係小於或等於解離器122的陽極電壓。
此外,射束電子裝置130可包含加速器電源供應器140,加速器電源供應器140可提供電壓VACC 以將高電壓電極126之一者相對於解離器122偏壓,從而使總GCIB加速能量等於約VACC 電子伏特(eV)。例如,加速器電源供應器140可將一電壓提供至高電壓電極126的第二電極,此電壓係小於或等於解離器122的陽極電壓及第一電極的抽取電壓。
又,進一步地,射束電子裝置130可包含透鏡電源供應器142、144,可設置透鏡電源供應器142、144俾以電位(例如VL1 及VL2 )將某些高電壓電極126偏壓以聚集GCIB 128。舉例來說,透鏡電源供應器142可將一電壓提供至高電壓電極126的第三電極,此電壓係小於或等於解離器122的陽極電壓、第一電極的抽取電壓、及第二電極的加速器電壓;而透鏡電源供應器144可將一電壓提供至高電壓電極126的第四電極,此電壓係小於或等於解離器122的陽極電壓、第一電極的抽取電壓、第二電極的加速器電壓、及第三電極的第一透鏡電壓。
應注意的是,可使用離子化方案及抽取方案二者的許多變型。儘管在此所述之方案係有助於教示之目的,但另一抽取方案可涉及將解離器及抽取電極(或抽取光學裝置)之第一元件設定在VACC 。這通常需要對解離器電源供應器之控制電壓進行光纖程式化,但會建立較簡單的整體光學裝置系列。無論解離器及抽取透鏡之偏壓的細節如何,本文中所描述之本發明皆是有用的。
可使用位於高電壓電極126下游之離子化/加速腔室106中的射束濾波器146來消除單體或來自GCIB 128的單體及輕團簇離子,以定義進入處理腔室108的經濾波的處理之GCIB 128A。在一實施例中,射束濾波器146會實質上使具有100個或更少之原子或分子或此二者的團簇之數量減少。射束濾波器可包含磁體組件,該磁體組件係用以對整個GCIB 128施加磁場以幫助濾波處理。
仍參照圖5,射束閘148係設置於離子化/加速腔室106中並在GCIB 128之路徑上。射束閘148具有開啟狀態及關閉狀態,在開啟狀態下,係允許GCIB 128從離子化/加速腔室106通往處理腔室108而定義了處理之GCIB 128A;在關閉狀態下,係阻擋GCIB 128進入處理腔室108。控制電纜可將控制信號由控制系統190傳遞至射束閘148。該控制信號係使射束閘148可控制地在開啟狀態或關閉狀態之間切換。
基板152(可為晶圓或半導體晶圓、平板顯示器(FPD)、液晶顯示器(LCD)、或其他待受GCIB處理所處理的基板)係設置在處理腔室108中並在處理之GCIB 128A的路徑上。由於大部分的應用係考慮到在具有空間上均勻之結果的情況下處理大型基板,故可能需要掃描系統以處理之GCIB 128A均勻地掃描整個大型區域,以產生空間上同質之結果。
X掃描致動器160提供基板固持件150在X掃描運動之方向(進出紙面)上的線性運動。Y掃描致動器162提供基板固持件150在Y掃描運動164(其通常正交於X掃描運動)之方向上的線性運動。X掃描與Y掃描運動的組合以類似光柵的掃描運動將基板固持件150所固持的基板152轉移過處理之GCIB 128A,俾藉由用以處理基板152的處理之GCIB 128A對基板152之表面造成均勻(或以其他方式程式化)的照射。
基板固持件150將基板152設置為相對於處理之GCIB 128A之軸線呈一角度,俾使處理之GCIB 128A相對於基板152之表面具有射束入射角166。射束入射角166可為90度或某些其他角度,但通常為90度或接近90度。在Y掃描期間,基板152及基板固持件150分別會從所示之位置移動至由標號152A及150A所指出之交替位置「A」。應注意的是,在此二個位置之間移動時,係透過處理之GCIB 128A來掃描基板152;而在二個端點位置時,係完全移出處理之GCIB 128A的路徑(過掃描)。儘管在圖5中並未明確顯示,但類似的掃描及過掃描係在(通常)正交的X掃描運動方向(進出紙面)上進行。
可將射束電流感測器180設置於處理之GCIB 128A的路徑上且在基板固持件150後方,俾可在基板固持件150掃描超出處理之GCIB 128A的路徑時,截取處理之GCIB 128A的樣本。射束電流感測器180通常是法拉第杯或類似物,其除了射束進入開口之外是密閉的,且通常係藉由電絕緣底座182固定於真空容室102的圍壁。
如圖5中所示,控制系統190係透過電纜而連接於X掃描致動器160及Y掃描致動器162並控制X掃描致動器160及Y掃描致動器162,以將基板152放置於處理之GCIB 128A之中或之外、並相對於處理之GCIB 128A均勻地掃描基板152,以藉著處理之GCIB 128A來達成基板152之所期望處理。控制系統190係經由電纜來接收由射束電流感測器180所收集之採樣的射束電流,從而監測GCIB,並在已遞送預定劑量時藉由將基板152從處理之GCIB 128A移開而控制基板152所接收的GCIB劑量。
在圖6中所示的實施例中,GCIB處理系統100'可類似於圖5的實施例且更包含X-Y定位平台253,X-Y定位平台253係可操作的以將基板252固持並使其在二個軸上移動,而相對於處理之GCIB 128A有效地掃描基板252。例如,X運動可包含進出紙面的運動,而Y運動可包含沿著方向264的運動。
處理之GCIB 128A係在基板252之表面上的投射撞擊區域286處、且相對於基板252之表面呈射束入射角266地撞擊基板252。藉著X-Y運動,X-Y定位平台253可將基板252之表面的各部分定位在處理之GCIB 128A的路徑上,俾使該表面的每一區域均可與受處理之GCIB 128A處理的投射撞擊區域286重合。X-Y控制器262係通過電纜將電信號提供至X-Y定位平台253,以控制X軸方向及Y軸方向之各者上的位置及速度。X-Y控制器262係通過電纜接收來自控制系統190的控制信號,並受控制系統190操控。X-Y定位平台253係根據習知X-Y平台定位技術而以連續運動或以步進運動來移動,以將基板252的不同區域定位在投射撞擊區域286之內。在一實施例中,X-Y定位平台253可受控制系統190以可程式化方式所控制,俾以可程式化的速度使基板252之任何部分掃描通過投射撞擊區域286,以藉由處理之GCIB 128A進行GCIB處理。
定位平台253的基板固持表面254係導電的、且係連接於由控制系統190所操作的劑量測定處理器。定位平台253的電絕緣層255會使基板252及基板固持表面254與定位平台253之基座部260隔離。由撞擊的處理之GCIB 128A在基板252中所引起的電荷係傳導通過基板252及基板固持表面254,且一信號會通過定位平台253而耦合至控制系統190以供劑量測定量測。劑量測定量測具有用於將GCIB電流積分以判定GCIB處理劑量的積分手段。在某些情況下,電子的目標中和來源(未顯示)(有時被稱為電子潮)可用以中和處理之GCIB 128A。在此種情況下,法拉第杯(未顯示,但可類似於圖5中的射束電流感測器180)可用以確保儘管在有額外之電荷來源的情況下仍有準確的劑量測定,其理由在於典型的法拉第杯僅允許高能量正離子進入而被測量。
在操作中,控制系統190會發出將射束閘148開啟的信號,俾以處理之GCIB 128A照射基板252。控制系統190會監測基板252所收集到的GCIB電流之量測結果,以計算基板252所接收到的累積劑量。當基板252所接收到的劑量達到預定劑量時,控制系統190會關閉射束閘148,而基板252的處理即完成。基於基板252之特定區域所接收到的GCIB劑量之量測結果,控制系統190可調整掃描速度以達成適當之射束駐留時間而處理基板252之不同區域。
替代地,處理之GCIB 128A可以固定速度且以固定模式掃描基板252的表面各處;然而,GCIB強度係調變的(可稱為Z軸調變)以將特意不均勻的劑量傳遞至樣本。可藉由許多方法之任一者在GCIB處理系統100'中調變GCIB強度,這些方法包含:改變來自GCIB來源供應器的氣體流;藉由改變燈絲電壓VF 或改變陽極電壓VA 而調變解離器122;藉由改變透鏡電壓VL1 及/或VL2 而調變透鏡焦距;或以可變的射束阻擋件、可調整的擋門、或可變的開孔而機械式地阻擋GCIB之一部分。調變的變化可以是連續的類比變化或可以是時間調控的切換或閘控。
處理腔室108可更包含原位的量測系統。例如,該原位的量測系統可包含具有光發送器280及光接收器282的光學診斷系統,其配置為分別地以入射光學信號284照射基板252及接收來自基板252的散射光學信號288。光學診斷系統包含光學窗以允許入射光學信號284及散射光學信號288通過而進出處理腔室108。此外,光發送器280及光接收器282分別可包含發送及接收的光學裝置。光發送器280會接收並回應來自控制系統190的控制用之電信號。光接收器282會將量測信號送回至控制系統190。
原位的量測系統可包含配置為監測GCIB處理之進行的任何儀器。根據一實施例,原位的量測系統可構成光學散射儀系統。該散射儀系統可包含結合射束輪廓橢圓儀(橢圓偏光計)及射束輪廓反射儀(反射計)的散射計,其可由Therma-Wave, Inc.(1250 Reliance Way, Fremont, CA 94539)或Nanometrics, Inc. (1550 Buckeye Drive, Milpitas, CA 95035)所購得。
例如,原位的量測系統可包含整合式光學數位輪廓儀(integrated Optical Digital Profilometry, iODP)散射儀模組,其配置為量測由在GCIB處理系統100'中執行一處理製程所產生的製程性能資料。例如,量測系統可量測或監測由處理製程所產生的量測資料。例如,可利用量測資料來判定將此處理製程特徵化的製程性能資料,製程性能資料例如為製程速率、相對製程速率、特徵輪廓角度、關鍵尺寸、特徵厚度或深度、特徵形狀等等。例如,在用於將材料方向性地沈積於基板上的製程中,製程性能資料可包含關鍵尺寸(CD)(例如特徵部(亦即穿孔、線路等等)中的頂部、中間部或底部CD)、特徵深度、材料厚度、側壁角度、側壁形狀、沈積速率、相對沈積速率、其任何參數的空間分布、用以將其任何空間分布之均勻性特徵化的參數等等。在透過來自控制系統190之控制信號以操作X-Y定位平台253時,原位的量測系統可標定基板252的一或更多特徵。
在圖7中所示的實施例中,GCIB處理系統100"可類似於圖5的實施例且更包含例如位在離子化/加速腔室106之出口區域或其附近的壓力單元腔室350。壓力單元腔室350包含惰性氣體來源352及壓力感測器354,惰性氣體來源352係配置為將背景氣體供應至壓力單元腔室350以提高壓力單元腔室350中的壓力,而壓力感測器354係配置為量測壓力單元腔室350中的提高之壓力。
壓力單元腔室350可配置為修改GCIB 128之射束能量分布,以產生經修改的處理之GCIB 128A'。此種射束能量分布的修改係藉由下列方法達成:導引GCIB 128沿著GCIB路徑通過壓力單元腔室350內的加壓區,俾使GCIB之至少一部分穿越加壓區。射束能量分布的修改程度可藉由沿著GCIB路徑之至少一部分的壓力-距離積分而加以特徵化,其中以路徑長度(d)表示距離(或壓力單元腔室350的長度)。當壓力-距離積分的值增加(藉由增加壓力及/或路徑長度(d))時,射束能量分布會變寬且尖峰能量會降低。當壓力-距離積分的值減低(藉由減少壓力及/或路徑長度(d))時,射束能量分布會變窄且尖峰能量會增加。設計壓力單元的進一步細節可由名為「Method and apparatus for improved processing with a gas-cluster ion beam」的美國專利第7,060,989號決定,該件專利之內容係藉由參照其整體內容而併入於本文中。
控制系統190包含微處理器、記憶體、及數位I/O埠,數位I/O埠能夠產生足以與GCIB處理系統100(或100'、100")通信並對其啟動輸入、以及足以監測來自GCIB處理系統100(或100'、100")之輸出的控制電壓,亦。此外,控制系統190可耦接於真空泵抽系統170A、170B、及170C、第一氣體來源111、第二氣體來源112、第一氣體控制閥113A、第二氣體控制閥113B、射束電子裝置130、射束濾波器146、射束閘148、X掃描致動器160、Y掃描致動器162、及射束電流感測器180,並可與其交換資訊。例如,根據一製程配方,可利用儲存於記憶體中的程式來啟動GCIB處理系統100之上述元件的輸入,以在基板152上進行GCIB製程。
然而,控制系統190可被實施成一般用途的電腦系統,其回應於執行記憶體中所含有的一或更多連串之一或更多指令的處理器,而執行本發明之基於微處理器的處理步驟之一部分或全部。此種指令可從另一電腦可讀取媒體(例如硬碟或可卸除式媒體驅動器)讀入至控制器記憶體中。亦可採用多處理裝置中之一或更多處理器作為控制器微處理器,以執行主記憶體中所含有的一連串指令。在替代實施例中,可使用硬佈線電路以取代軟體指令、或與軟體指令結合。因此,這些實施例並不限於硬體電路及軟體的任何特定組合。
如上所述,可使用控制系統190以配置任何數量的處理元件,且控制系統190可收集、提供、處理、儲存、及顯示來自處理元件的資料。控制系統190可包含複數個應用程式以及複數個控制器,以控制處理元件之一或更多者。例如,控制系統190可包含圖形使用者界面(GUI)元件(未顯示),該GUI元件可提供能讓使用者監測及/或控制一或更多處理元件的界面。
控制系統190可相對於GCIB處理系統100(或100'、100")設置於本地端,或可相對於GCIB處理系統100(或100'、100")設置於遠端。例如,控制系統190可使用直接連線、內部網路、及/或網際網路而與GCIB處理系統100交換資料。控制系統190可耦接至內部網路於例如用戶端(亦即,元件生產者等等)之處;或可耦接至內部網路於例如供應商端(亦即,設備製造者)之處。替代地或額外地,控制系統190可耦接至網際網路。此外,另一電腦(亦即控制器、伺服器等等)可存取控制系統190,以經由直接連線、內部網路、及/或網際網路而與其交換資料。
可透過夾持系統(未顯示)將基板152(或252)固定於基板固持件150(或基板固持件250),該夾持系統例如為機械夾持系統或電夾持系統(例如靜電夾持系統)。此外,基板固持件150(或250)可包含加熱系統(未顯示)或冷卻系統(未顯示),其係配置為調整及/或控制基板固持件150(或250)及基板152(或252)的溫度。
真空泵抽系統170A、170B、及170C可包含泵抽速度能高達每秒約5000公升(及更大)的渦輪分子真空幫浦(turbo-molecular vacuum pumps, TMP)、及用以調節腔室壓力的閘閥。在習知的真空處理裝置中,可採用每秒1000至3000公升的TMP。TMPs對於低壓處理(通常小於約50 mTorr)係相當有用的。雖然未顯示,但應理解的是,壓力單元腔室350亦可包含真空泵抽系統。此外,用以監測腔室壓力(未顯示)的裝置可耦接於真空容室102或三個真空的腔室104、106、108之任一者。壓力量測裝置可例如為電容式壓力計或游離壓力計。
參照圖8,其係顯示用以將氣體團簇噴射流(圖5、圖6、及圖7的氣體團簇射束118)離子化的解離器(圖5、圖6、及圖7的122)之部分300。部分300係垂直於GCIB 128之軸線。對於典型的氣體團簇尺寸(2000至15000個原子)而言,離開氣體分離器(圖5、圖6、及圖7的120)且進入解離器(圖5、圖6、及圖7的122)的團簇將以約130至1000電子伏特(eV)的動能行進。在這些低能量的情況下,解離器122內有任何偏離空間電荷中性均將導致噴射流的急速分散而顯著損失射束電流。圖8顯示出一自我中和解離器。如同其他解離器,氣體團簇係藉由電子撞擊而離子化。在此設計中,熱電子(由310所表示之七個範例)係從複數個線狀熱離子燈絲302a、302b、及302c(通常是鎢)射出,且係藉由電子推斥極電極306a、306b、及306c以及射束形成電極304a、304b、及304c所提供的適當電場之作用而加以抽取及聚集。熱電子310會通過氣體團簇噴射流及噴射流軸線,並接著撞擊對面的射束形成電極304b以產生低能量的二次電子(例如312、314、及316所示者)。
雖然(為了簡化起見)未顯示,但線狀熱離子燈絲302b及302c亦會產生熱電子,此熱電子之後會產生低能量的二次電子。所有的二次電子有助於藉由以下方法來確保離子化之團簇噴射流保持空間電荷中性:提供可依所需被吸引至正離子化之氣體團簇噴射流中的低能量電子,以維持空間電荷中性。射束形成電極304a、304b、及304c係相對於線狀熱離子燈絲302a、302b、及302c被施加正偏壓,且電子推斥極電極306a、306b、及306c係相對於線狀熱離子燈絲302a、302b、及302c被施加負偏壓。絕緣體308a、308b、308c、308d、308e、及308f係支撐電極304a、304b、304c、306a、306b、及306c並使其電性絕緣。例如,此種自我中和解離器係有效的並可達成超過1000微安培的氬GCIB。
替代地,解離器可使用從電漿抽取的電子以將團簇離子化。這些解離器的幾何形狀係與上述之三個燈絲解離器相當不同,但運作原理及解離器的控制則相當類似。參照圖9,其係顯示用以將氣體團簇噴射流(圖5、圖6、及圖7的氣體團簇射束118)離子化的解離器(圖5、圖6、及圖7的122)之部分400。部分400係垂直於GCIB 128之軸線。對於典型的氣體團簇尺寸(2000至15000個原子)而言,離開氣體分離器(圖5、圖6、及圖7的120)且進入解離器(圖5、圖6、及圖7的122)的團簇將以約130至1000電子伏特(eV)的動能行進。在這些低能量的情況下,解離器122內有任何偏離空間電荷中性均將導致噴射流的急速分散而顯著損失射束電流。圖9顯示出一自我中和解離器。如同其他解離器,氣體團簇係藉由電子撞擊而離子化。
此解離器包含一系列的細桿陽極電極452,其係由支撐板(未顯示)所支撐且加以電連接。此系列的細桿陽極電極452係與氣體團簇射束(例如圖5、圖6、及圖7的氣體團簇射束118)的軸線實質上同心。解離器亦包含一系列的細桿電子推斥極桿458,其係由另一支撐板(未顯示)所支撐且加以電連接。此系列的細桿電子推斥極電極458係與氣體團簇射束(例如圖5、圖6、及圖7的氣體團簇射束118)的軸線實質上同心。此解離器更包含一系列的細桿離子推斥極桿464,其係由又另一支撐板(未顯示)所支撐且加以電連接。此陣列之細桿離子推斥極電極464係與氣體團簇射束(例如圖5、圖6、及圖7的氣體團簇射束118)的軸線實質上同心。
高能電子係從電漿電子來源470供應至射束區域444。電漿電子來源470包含電漿腔室472,於其中電漿係形成於電漿區域442中。電漿電子來源470更包含熱離子燈絲476、氣體進入開孔426、及複數個抽取開孔480。熱離子燈絲476係藉由絕緣體477而與電漿腔室472絕緣。舉例來說,熱離子燈絲476可包含具有呈「豬尾式」組態的一又二分之一圈的鎢燈絲。
氣體團簇解離器之部分400包含具有複數個開孔482的電子加速電極488。此外,部分400包含具有複數個開孔484的電子減速電極490。複數個開孔482、複數個開孔484、及複數個抽取開孔480從電漿區域442至射束區域444係全部對齊。
電漿形成氣體(例如稀有氣體)係通過氣體進入開孔426而進到電漿腔室472。絕緣的氣體供應管線422可將加壓的電漿形成氣體供應至可遠端控制之氣體閥424,氣體閥424可調節到達電漿腔室472的電漿形成氣體之進入。
燈絲電源供應器408可提供用以將電流驅動通過熱離子燈絲476的燈絲電壓(VF )以刺激熱電子放射。燈絲電源供應器408係可控制地在3至5 V(伏特)下提供約140至200 A(安培)。電弧電源供應器410係可控制地提供電弧電壓(VA ),以使電漿腔室472相對於熱離子燈絲476被施加正偏壓。電弧電源供應器410通常係操作在固定電壓(通常約35 V)下,並提供用以在電漿腔室472內將電子加速的手段以形成電漿。可控制燈絲電流以調節由電弧電源供應器410所供應的電弧電流。電弧電源供應器410能將高達5 A的電弧電流提供至電漿電弧。
藉由電子偏壓電源供應器412使電子減速電極490相對於電漿腔室472被施加正偏壓。電子偏壓電源供應器412係提供可在30 V至400 V之範圍內可控制地調整的偏壓電壓(VB )。藉由電子抽取電源供應器416使電子加速電極488相對於電子減速電極490被施加正偏壓。電子抽取電源供應器416係提供可控制在20 V至250 V之範圍內之電子抽取電壓(VEE )。加速電源供應器420可供應加速電壓(VACC )以使該系列的細桿陽極電極452及電子減速電極490相對於接地端被施加正偏壓。VACC 係為部分400中所示之氣體團簇解離器所產生之氣體團簇離子用的加速電位,且可控制及可調整在1至100 kV之範圍內。電子推斥極電源供應器414可提供電子推斥極偏壓電壓(VER )以使該系列的細桿電子推斥極電極458相對於VACC 被施加負偏壓。VER 係可控制在50至100 V之範圍內。離子推斥極電源供應器418可提供離子推斥極偏壓電壓(VIR )以使該系列的細桿離子推斥極電極464相對於VACC 被施加正偏壓。VIR 係可控制在50至150 V之範圍內。
光纖控制器430會接收電纜434上的電控制信號並將其轉換成控制連結432上的光學信號,以使用來自接地之控制系統的信號而控制運作在高電位的元件。光纖控制連結432會將控制信號傳送至可遠端控制的氣體閥424、燈絲電源供應器408、電弧電源供應器410、電子偏壓電源供應器412、電子推斥極電源供應器414、電子抽取電源供應器416、及離子推斥極電源供應器418。
例如,解離器的設計係類似於名為「Ionizer and method for gas-cluster ion-beam formation」的美國專利第7,173,252號中所描述的解離器,該件專利之內容係藉由參照其整體內容而併入於本文中。
解離器(圖5、圖6、及圖7的122)可配置為藉由改變GCIB 128的電荷狀態而修改GCIB 128的射束能量分布。例如,對於氣體團簇之電子碰撞引發之離子化中所使用的電子而言,可藉由調整電子通量、電子能量、或電子能量分布而修改電荷狀態。
參照圖10,係提供鰭狀物結構1000的示意圖。鰭狀物結構1000包含一或更多鰭狀物1010、形成於一或更多鰭狀物1010上的帽蓋層1020、及填充一或更多鰭狀物1010間之區域的間隙填充材料層1030。在平坦化之前,間隙填充材料層1030係形成於一或更多鰭狀物1010及帽蓋層1020上,俾使其完全覆蓋於鰭狀物結構1000上。在平坦化期間,係將間隙填充材料層1030移除直到帽蓋層1020在鰭狀物結構1000中之一或更多鰭狀物1010的至少一鰭狀物上露出。
可使用化學機械研磨(CMP)、或使用預處理GCIB、或其組合,以執行間隙填充材料層1030的移除。例如,可執行CMP步驟,並在之後可執行GCIB步驟,以完成間隙填充材料層1030的移除至一或更多鰭狀物1010之各者上的帽蓋層1020。在CMP步驟抵達任何鰭狀物上的帽蓋層1020之前,可使用後CMP(post-CMP)的GCIB步驟來完成間隙填充材料層1030的平坦化至一或更多鰭狀物1010之各者上的帽蓋層1020,或一旦CMP步驟已抵達至少一鰭狀物上的帽蓋層1020時,可使用後CMP的GCIB步驟來完成間隙填充材料層1030的平坦化至剩餘鰭狀物上的帽蓋層1020。例如,根據可達成大約一之預處理蝕刻選擇性的預處理製程條件,可由一預處理蝕刻製程組成物形成預處理GCIB,其中該預處理蝕刻選擇性係定義為間隙填充材料層1030的蝕刻速率與帽蓋層1020的蝕刻速率之間的比例。而且,在使間隙填充材料層1030相對於帽蓋層1020凹陷之前,係使基板曝露於預處理GCIB,並將間隙填充材料層1030及帽蓋層1020平坦化。
如圖10中所示,為一或更多鰭狀物1010設定目標鰭高1025,其中,此鰭高可從帽蓋層1020與一或更多鰭狀物1010之間的界面1015開始量測。根據可達成超過1.5之蝕刻選擇性的製程條件,由一蝕刻製程組成物建立GCIB,其中該蝕刻選擇性係定義為間隙填充材料層1030的蝕刻速率與帽蓋層1020的蝕刻速率之間的比例。間隙填充材料層1030可包含SiOx ,而帽蓋層可包含SiNx ,其中,一或更多鰭狀物1010可包含Si、Ge、或SiGex 合金。圖4A至4Q提供用以選擇GCIB參數的資料,俾達成例如這些材料之間的蝕刻選擇性。使基板曝露於GCIB,並使間隙填充材料層1030相對於帽蓋層1020凹陷直到目標鰭高實質上達成。
儘管以上僅詳細描述本發明的某些實施例,但那些熟習本技藝者應容易理解到,在未實質脫離本發明之新穎教示及優點的情況下,實施例中的許多修改係有可能的。因此,所有此種修改均欲包含於本發明之範疇內。
1‧‧‧流程圖
10 ~ 16‧‧‧步驟
20、20'、20"‧‧‧部分
22‧‧‧基板
24、24'‧‧‧材料層
24''‧‧‧第一材料層
24'''‧‧‧第二材料層
25、25'、25''‧‧‧GCIB
26‧‧‧遮罩層
27‧‧‧第一圖案
28‧‧‧第二圖案(特徵部圖案)
30A ~ 30D‧‧‧射束能量分布
35‧‧‧方向
40‧‧‧第一射束角分布函數
40'‧‧‧第二射束角分布函數
42‧‧‧第一尖峰
42'‧‧‧第二尖峰
44‧‧‧第一寬度
44'‧‧‧第二寬度
45‧‧‧入射方向
100、100'、100"‧‧‧GCIB處理系統
102‧‧‧真空容室
104‧‧‧(來源)腔室
106‧‧‧(離子化/加速)腔室
108‧‧‧(處理)腔室
110‧‧‧噴嘴
111‧‧‧第一氣體來源
112‧‧‧第二氣體來源
113‧‧‧氣體計量閥
113A‧‧‧第一氣體控制閥
113B‧‧‧第二氣體控制閥
114‧‧‧氣體供應管
116‧‧‧滯止腔室
118‧‧‧氣體團簇射束
120‧‧‧氣體分離器
122‧‧‧解離器
124‧‧‧(解離器)燈絲
126‧‧‧高電壓電極
128‧‧‧GCIB
128A、128A'‧‧‧處理之GCIB
130‧‧‧射束電子裝置
134‧‧‧陽極電源供應器
136‧‧‧燈絲電源供應器
138‧‧‧抽取電源供應器
140‧‧‧加速器電源供應器
142、144‧‧‧透鏡電源供應器
146‧‧‧射束濾波器
148‧‧‧射束閘
150‧‧‧基板固持件
150A‧‧‧標記
152‧‧‧基板
152A‧‧‧標記
160‧‧‧X掃描致動器
162‧‧‧Y掃描致動器
164‧‧‧Y掃描運動
166‧‧‧射束入射角
170A ~ 170C‧‧‧真空泵抽系統
180‧‧‧射束電流感測器
182‧‧‧電絕緣底座
190‧‧‧控制系統
250‧‧‧基板固持件
252‧‧‧基板
253‧‧‧(X-Y)定位平台
254‧‧‧基板固持表面
255‧‧‧電絕緣層
260‧‧‧基座部
262‧‧‧X-Y控制器
264‧‧‧方向
266‧‧‧射束入射角
280‧‧‧光發送器
282‧‧‧光接收器
284‧‧‧入射光學信號
286‧‧‧投射撞擊區域
288‧‧‧散射光學信號
300‧‧‧部分
302a ~ 302c‧‧‧線狀熱離子燈絲
304a ~ 304c‧‧‧(射束形成)電極
306a ~ 306c‧‧‧(電子推斥極)電極
308a ~ 308f‧‧‧絕緣體
310‧‧‧熱電子
312、314、316‧‧‧二次電子
350‧‧‧壓力單元腔室
352‧‧‧惰性氣體來源
354‧‧‧壓力感測器
400‧‧‧部分
408‧‧‧燈絲電源供應器
410‧‧‧電弧電源供應器
412‧‧‧電子偏壓電源供應器
414‧‧‧電子推斥極供應器
416‧‧‧電子抽取電源供應器
418‧‧‧離子推斥極電源供應器
420‧‧‧加速電源供應器
422‧‧‧氣體供應管線
424‧‧‧氣體閥
426‧‧‧開孔
430‧‧‧光纖控制器
432‧‧‧控制連結
434‧‧‧電纜
442‧‧‧電漿區域
444‧‧‧射束區域
452‧‧‧細桿陽極電極
458‧‧‧細桿電子推斥極電極(桿)
464‧‧‧細桿離子推斥極電極(桿)
470‧‧‧電漿電子來源
472‧‧‧電漿腔室
476‧‧‧熱離子燈絲
477‧‧‧絕緣體
480‧‧‧抽取開孔
482‧‧‧開孔
484‧‧‧開孔
488‧‧‧電子加速電極
490‧‧‧電子減速電極
1000‧‧‧鰭狀物結構
1010‧‧‧鰭狀物
1015‧‧‧界面
1020‧‧‧帽蓋層
1025‧‧‧目標鰭高
1030‧‧‧間隙填充材料層
d‧‧‧距離(路徑長度)
在隨附圖式中:
圖1根據一實施例,係為顯示用於蝕刻基板之方法的流程圖;
圖2A至2C根據其他實施例,以示意圖顯示用於蝕刻基板的方法;
圖3A提供一GCIB之射束能量分布函數的示意性曲線圖;
圖3B提供一GCIB之射束角分布函數的示意性曲線圖;
圖4A至4Q係以圖表方式顯示在基板上蝕刻材料的示例性資料;
圖5係為GCIB處理系統的圖;
圖6係為另一GCIB處理系統的圖;
圖7係為又另一GCIB處理系統的圖;
圖8係為GCIB處理系統之離子化來源的圖;
圖9係為GCIB處理系統之另一離子化來源的圖;及
圖10根據一實施例,提供鰭狀物結構的示意圖。
1000‧‧‧鰭狀物結構
1010‧‧‧鰭狀物
1015‧‧‧界面
1020‧‧‧帽蓋層
1025‧‧‧目標鰭高
1030‧‧‧間隙填充材料層

Claims (20)

  1. 一種用於調整鰭式場效電晶體(finFET)元件中之鰭高的氣體團簇離子束(GCIB)蝕刻方法,包含以下步驟: 提供一基板,該基板具有一鰭狀物結構、及完全覆蓋該鰭狀物結構且填充該鰭狀物結構之各鰭狀物間之區域的一間隙填充材料層,其中,各鰭狀物包含形成於其頂面上的一帽蓋層; 使該間隙填充材料層平坦化,直到該帽蓋層在該鰭狀物結構之至少一鰭狀物上露出為止; 為該鰭狀物結構設定一目標鰭高,該目標鰭高係從該帽蓋層與該鰭狀物結構之間的界面開始量測; 根據達成超過1.5之蝕刻選擇性的製程條件,由一蝕刻製程組成物建立一GCIB,該蝕刻選擇性係定義為該間隙填充材料層的蝕刻速率與該帽蓋層的蝕刻速率之間的比例;及 使該基板曝露於該GCIB,並使該間隙填充材料層相對於該帽蓋層凹陷,直到該目標鰭高實質上達成為止。
  2. 如申請專利範圍第1項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,更包含以下步驟: 根據達成大約一之預處理蝕刻選擇性的預處理製程條件,由一預處理蝕刻製程組成物建立一預處理GCIB,該預處理蝕刻選擇性係定義為該間隙填充材料層的蝕刻速率與該帽蓋層的蝕刻速率之間的比例;及 在使該間隙填充材料層相對於該帽蓋層凹陷之前,使該基板曝露於該預處理GCIB並使該間隙填充材料層及該帽蓋層平坦化。
  3. 如申請專利範圍第1項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該鰭狀物結構係由Si、Ge、或SiGe合金所組成。
  4. 如申請專利範圍第1項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該帽蓋層包含複數層。
  5. 如申請專利範圍第1項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該帽蓋層包含氮化矽。
  6. 如申請專利範圍第1項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該間隙填充材料層包含氧化矽。
  7. 如申請專利範圍第1項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該蝕刻製程組成物包括含有含鹵素氣體、鹵代甲烷、或鹵代矽烷、或其二或更多者之組合的一或更多加壓氣體。
  8. 如申請專利範圍第7項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該蝕刻製程組成物包括含有CHF3 、或SiF4 、或二者皆有的一或更多加壓氣體。
  9. 如申請專利範圍第7項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該一或更多加壓氣體更包含稀有氣體元素、或N2 、或二者皆有。
  10. 如申請專利範圍第7項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該一或更多加壓氣體更含有選自於由B、C、H、Si、Ge、N、P、As、O、S、F、Cl、及Br所組成之群組的一或更多元素。
  11. 如申請專利範圍第1項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該GCIB係藉由執行以下步驟而建立: 維持用以固持該基板的基板固持器周圍之一減壓環境; 在該減壓環境中穩固地固持該基板; 由含有一蝕刻化合物的加壓氣體混合物形成該GCIB,該蝕刻化合物係由鹵素元素及選自於由Si及Ge所組成之群組的一或更多元素所組成; 使該GCIB加速;及 使該GCIB之至少一部分照射至該基板之至少一部分上。
  12. 如申請專利範圍第11項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,更包含以下步驟: 選定一或更多目標蝕刻製程指標,該目標蝕刻製程指標包含該間隙填充材料層的蝕刻速率、該帽蓋層的蝕刻速率、該間隙填充材料層與該帽蓋層之間的蝕刻選擇性、該間隙填充材料層的表面粗糙度、該帽蓋層的表面粗糙度、及該鰭狀物結構的輪廓;及 針對該GCIB設定一GCIB製程條件之一或更多GCIB性質,以達成該一或更多目標蝕刻製程指標。
  13. 如申請專利範圍第12項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,更包含以下步驟: 針對一GCIB蝕刻製程選定複數個目標蝕刻製程指標,該等目標蝕刻製程指標包含該間隙填充材料層與該帽蓋層之間的蝕刻選擇性、及該間隙填充材料層的表面粗糙度與該帽蓋層的表面粗糙度之至少一者;及 針對該GCIB蝕刻製程建立包含GCIB性質的GCIB製程條件,以達成該等目標蝕刻製程指標,該GCIB製程條件包含設定製程組成、及設定該GCIB組成中之至少一組分的流率、射束加速電位、及該GCIB通過之加壓區的背景氣體壓力或背景氣體流率之至少一者。
  14. 如申請專利範圍第13項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該GCIB製程條件的GCIB性質更包含射束劑量、射束聚集電位、射束能量、射束能量分布、射束角分布、射束發散角、或滯止壓力。
  15. 如申請專利範圍第12項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該GCIB組成包含一第一蝕刻化合物及一第二蝕刻化合物。
  16. 如申請專利範圍第15項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,在該照射步驟期間,該第一蝕刻化合物及該第二蝕刻化合物係被連續地引入至該GCIB。
  17. 如申請專利範圍第15項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,在該照射步驟期間,該第一蝕刻化合物及該第二蝕刻化合物係被交替且相繼地引入至該GCIB。
  18. 如申請專利範圍第12項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,更包含以下步驟: 將一添加物氣體引入至該GCIB以改變該GCIB組成並達成該一或更多目標蝕刻製程指標。
  19. 如申請專利範圍第12項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該間隙填充材料層及/或該帽蓋層的目標表面粗糙度係小於或等於5埃。
  20. 如申請專利範圍第1項之用於調整finFET元件中之鰭高的GCIB蝕刻方法,其中,該蝕刻選擇性係大於或等於2。
TW103128661A 2013-08-21 2014-08-20 用以調整鰭式場效電晶體元件之鰭高的氣體團簇離子束蝕刻方法 TWI550724B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361868095P 2013-08-21 2013-08-21

Publications (2)

Publication Number Publication Date
TW201523739A true TW201523739A (zh) 2015-06-16
TWI550724B TWI550724B (zh) 2016-09-21

Family

ID=52480746

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103128661A TWI550724B (zh) 2013-08-21 2014-08-20 用以調整鰭式場效電晶體元件之鰭高的氣體團簇離子束蝕刻方法

Country Status (4)

Country Link
US (1) US9209033B2 (zh)
KR (1) KR20160058802A (zh)
TW (1) TWI550724B (zh)
WO (1) WO2015026428A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI630605B (zh) * 2015-10-02 2018-07-21 佳能安內華股份有限公司 Ion beam etching method and ion beam etching device
CN109891557A (zh) * 2016-10-21 2019-06-14 库库创作股份有限公司 干法蚀刻装置及其控制方法

Families Citing this family (304)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
WO2015077604A1 (en) * 2013-11-22 2015-05-28 Tel Epion Inc. Molecular beam enhanced gcib treatment
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN107112186B (zh) * 2014-09-05 2020-04-21 Tel艾派恩有限公司 用于基片的射束处理的过程气体增强
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9391174B1 (en) * 2015-06-04 2016-07-12 Globalfoundries Inc. Method of uniform fin recessing using isotropic etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
JP2017092142A (ja) * 2015-11-05 2017-05-25 東京エレクトロン株式会社 被処理体を処理する方法
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR20170097270A (ko) 2016-02-17 2017-08-28 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US20170294289A1 (en) * 2016-04-11 2017-10-12 Aaron Reinicker Boron compositions suitable for ion implantation to produce a boron-containing ion beam current
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10643858B2 (en) 2017-10-11 2020-05-05 Samsung Electronics Co., Ltd. Method of etching substrate
KR102020714B1 (ko) * 2017-10-30 2019-09-11 한국기초과학지원연구원 기체 클러스터 이온 빔을 이용한 나노 구조 촉매 제조 방법
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11127617B2 (en) 2017-11-27 2021-09-21 Asm Ip Holding B.V. Storage device for storing wafer cassettes for use with a batch furnace
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10593598B2 (en) 2017-12-23 2020-03-17 International Business Machines Corporation Vertical FET with various gate lengths by an oxidation process
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10930514B2 (en) * 2018-06-11 2021-02-23 Fei Company Method and apparatus for the planarization of surfaces
US10665514B2 (en) 2018-06-19 2020-05-26 International Business Machines Corporation Controlling active fin height of FinFET device using etch protection layer to prevent recess of isolation layer during gate oxide removal
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6040223A (en) * 1999-08-13 2000-03-21 Taiwan Semiconductor Manufacturing Company Method for making improved polysilicon FET gate electrodes having composite sidewall spacers using a trapezoidal-shaped insulating layer for more reliable integrated circuits
WO2003048407A1 (en) 2001-10-11 2003-06-12 Epion Corporation Gcib processing to improve interconnection vias and improved interconnection via
US20040060899A1 (en) 2002-10-01 2004-04-01 Applied Materials, Inc. Apparatuses and methods for treating a silicon film
WO2004044954A2 (en) 2002-11-08 2004-05-27 Epion Corporation Gcib processing of integrated circuit interconnect structures
US7071122B2 (en) 2003-12-10 2006-07-04 International Business Machines Corporation Field effect transistor with etched-back gate dielectric
US7060989B2 (en) 2004-03-19 2006-06-13 Epion Corporation Method and apparatus for improved processing with a gas-cluster ion beam
US7759251B2 (en) 2004-06-03 2010-07-20 Tel Epion Corporation Dual damascene integration structure and method for forming improved dual damascene integration structure
EP1807859A2 (en) 2004-10-25 2007-07-18 TEL Epion Inc. Ionizer and method for gas-cluster ion-beam formation
US7709344B2 (en) 2005-11-22 2010-05-04 International Business Machines Corporation Integrated circuit fabrication process using gas cluster ion beam etching
EP2079103A4 (en) 2006-10-30 2010-05-26 Japan Aviation Electron PROCESS FOR MACHINING A SOLID SURFACE WITH A GAS CLUSTER ION BEAM
EP2170778A1 (en) 2007-06-29 2010-04-07 Asahi Glass Company, Limited Method for removing foreign matter from glass substrate surface and method for processing glass substrate surface
JP2009094378A (ja) 2007-10-11 2009-04-30 Panasonic Corp 半導体装置及びその製造方法
JP2011040458A (ja) 2009-08-07 2011-02-24 Renesas Electronics Corp 半導体装置およびその製造方法
JP5465958B2 (ja) 2009-09-01 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8395195B2 (en) 2010-02-09 2013-03-12 Taiwan Semiconductor Manufacturing Company, Ltd. Bottom-notched SiGe FinFET formation using condensation
US8193094B2 (en) * 2010-06-21 2012-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Post CMP planarization by cluster ION beam etch
EP2608872B1 (en) * 2010-08-23 2019-07-31 Exogenesis Corporation Method and apparatus for neutral beam processing based on gas cluster ion beam technology
US8728881B2 (en) 2011-08-31 2014-05-20 Institute of Microelectronics, Chinese Academy of Sciences Semiconductor device and method for manufacturing the same
US8512586B2 (en) 2011-09-01 2013-08-20 Tel Epion Inc. Gas cluster ion beam etching process for achieving target etch process metrics for multiple materials
US9397104B2 (en) 2011-09-21 2016-07-19 Institute of Microelectronics, Chinese Academy of Sciences SRAM cell and method for manufacturing the same
US8609480B2 (en) * 2011-12-21 2013-12-17 Globalfoundries Inc. Methods of forming isolation structures on FinFET semiconductor devices
US8354320B1 (en) 2012-02-09 2013-01-15 Globalfoundries Inc. Methods of controlling fin height of FinFET devices by performing a directional deposition process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI630605B (zh) * 2015-10-02 2018-07-21 佳能安內華股份有限公司 Ion beam etching method and ion beam etching device
CN109891557A (zh) * 2016-10-21 2019-06-14 库库创作股份有限公司 干法蚀刻装置及其控制方法
CN109891557B (zh) * 2016-10-21 2023-06-20 库库创作股份有限公司 干法蚀刻装置及其控制方法

Also Published As

Publication number Publication date
US20150056815A1 (en) 2015-02-26
KR20160058802A (ko) 2016-05-25
WO2015026428A1 (en) 2015-02-26
TWI550724B (zh) 2016-09-21
US9209033B2 (en) 2015-12-08

Similar Documents

Publication Publication Date Title
TWI550724B (zh) 用以調整鰭式場效電晶體元件之鰭高的氣體團簇離子束蝕刻方法
TWI508166B (zh) 用以達成多種材料之目標蝕刻處理指標的氣體團簇離子束蝕刻方法
US20150270135A1 (en) Gas cluster ion beam etching process
TWI584332B (zh) 分子束增強氣體團簇離子束處理
US9735019B2 (en) Process gas enhancement for beam treatment of a substrate
US8728947B2 (en) Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
US8691700B2 (en) Gas cluster ion beam etch profile control using beam divergence
US8513138B2 (en) Gas cluster ion beam etching process for Si-containing and Ge-containing materials
US8557710B2 (en) Gas cluster ion beam etching process for metal-containing materials
US8722542B2 (en) Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
US9500946B2 (en) Sidewall spacer patterning method using gas cluster ion beam
US9875947B2 (en) Method of surface profile correction using gas cluster ion beam
US9123505B1 (en) Apparatus and methods for implementing predicted systematic error correction in location specific processing
CN112133676A (zh) 一种在衬底上的高深宽比接触通孔的底部打开保护层的方法
CN112151371A (zh) 一种对含硅、含锗和含金属材料进行气簇离子束刻蚀方法
CN112151385A (zh) 一种用于调整finfet器件的鳍高的gcib刻蚀方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees