TWI584332B - 分子束增強氣體團簇離子束處理 - Google Patents

分子束增強氣體團簇離子束處理 Download PDF

Info

Publication number
TWI584332B
TWI584332B TW103140653A TW103140653A TWI584332B TW I584332 B TWI584332 B TW I584332B TW 103140653 A TW103140653 A TW 103140653A TW 103140653 A TW103140653 A TW 103140653A TW I584332 B TWI584332 B TW I584332B
Authority
TW
Taiwan
Prior art keywords
gcib
substrate
molecular beam
gas
cluster ion
Prior art date
Application number
TW103140653A
Other languages
English (en)
Other versions
TW201535461A (zh
Inventor
馬修C 葛溫
Original Assignee
東京威力科創艾派恩股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創艾派恩股份有限公司 filed Critical 東京威力科創艾派恩股份有限公司
Publication of TW201535461A publication Critical patent/TW201535461A/zh
Application granted granted Critical
Publication of TWI584332B publication Critical patent/TWI584332B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/30Electron-beam or ion-beam tubes for localised treatment of objects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/04Arrangements of electrodes and associated parts for generating or controlling the discharge, e.g. electron-optical arrangement, ion-optical arrangement
    • H01J37/08Ion sources; Ion guns
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/08Ion sources
    • H01J2237/0812Ionized cluster beam [ICB] sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/30Electron or ion beam tubes for processing objects
    • H01J2237/317Processing objects on a microscale

Landscapes

  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Electron Sources, Ion Sources (AREA)

Description

分子束增強氣體團簇離子束處理
本發明關於氣體團簇離子束(GCIB)處理。
[相關申請案之交互參照]
根據37 C.F.R.§ 1.78(a)(4),本案主張已提申之共同待審之美國臨時專利申請案第61/907,669號的權益及優先權,該臨時申請案係於2013年11月22號提申且在此整體併入做為參考。
典型地,在積體電路(IC)的製造期間,半導體生產設備係利用(乾式)電漿蝕刻處理以移除或蝕刻圖案化於半導體基板上之細線沿線及圖案化於半導體基板上之貫孔或接點內的材料。電漿蝕刻製程的成功要求蝕刻化學包含適合選擇性地蝕刻一材料、同時以實質上較低之速率蝕刻另一材料的化學反應物。再者,電漿蝕刻製程的成功要求在均勻地對基板施加蝕刻製程的同時可達成可接受的輪廓控制。
在目前的IC元件中,含矽及含鍺的材料為半導體處理中的主幹。然而,亦正將更多特殊材料導入半導體處理以改善IC元件的各種電性質。例如,在前段製程(front-end-of-line,FEOL)半導體處理中,希望將高介電常數(高k)材 料用作電晶體閘極介電質。初步用於此角色的高k材料為氧化鉭材料及氧化鋁材料。目前,預期鉿類介電質、可能還有鑭類介電質將作為閘極介電質進入生產。此外,在FEOL半導體處理中,希望將含金屬的材料用作電子元件之未來世代中的電晶體閘極電極。目前,預期含有Ti、Ta、及/或Al(例如TiN、TaN、Al2O3、及TiAl)的金屬電極將作為金屬電極進入生產。當然,將新材料導入半導體處理並不限於FEOL操作,在後段製程(back-end-of-line,BEOL)操作之金屬化製程中亦為趨勢。此外,在先進記憶體元件中,使用並導入新且特殊的材料,包含Fe、Co、Ni、及其合金,以及貴金屬。
在電子元件處理中具有現行材料並引入此等新材料的情況下,蝕刻此等現行材料及新材料、同時保有既存的層及/或結構之完整性的能力面臨艱鉅挑戰。傳統蝕刻製程可能未達成對於此等材料之實用蝕刻速率、或未達成相對於下置或上置材料之可接受的蝕刻選擇性。此外,習知蝕刻製程可能未達成均勻施加於基板各處之可接受的輪廓控制。
本發明之實施例關於GCIB處理。特別是,本發明之實施例關於GCIB蝕刻處理。再者,本發明之實施例關於不同材料的GCIB蝕刻處理以達成目標蝕刻製程衡量指標。
根據一實施例,GCIB系統可包含GCIB產生系統及分子束產生系統,可將該GCIB產生系統及分子束產生系統搭配使用以在真空腔室內處理基板。GCIB及(複數)分子束可在真空腔室內與彼此交互作用,或與基板直接交互作用。舉例來說,該交互作用可發生於自基板之曝露表面起之偏移距離處、或 發生於基板之曝露表面。GCIB及分子束之間的入射角可在從0到90度之範圍內。在一特定實施例中,該入射角可在從5到45度之範圍內。在此等實施例中,GCIB可與基板具有從0到90度之入射角。GCIB可包含高壓噴嘴、位在該高壓噴嘴之出口以後的噴嘴分離器、位在該噴嘴分離器之出口以後的游離器、及一或更多加速電極。
在另一實施例中,GCIB系統可包含可用以在相同或相似時間與GCIB及/或基板交互作用的二或更多分子束來源。該等分子束來源可與GCIB交互作用於相同位置,或可在GCIB上的不同位置交互作用。同樣地,分子束及GCIB之間的入射角可有變化且未必要相等。在一實施例中,分子束可包含含氮氣體、氬、或其組合。在另一實施例中,分子束亦可包含額外的反應氣體(例如含氧氣體、含鹵素氣體...等)。
在一方法實施例中,GCIB系統可將基板設置於可在真空腔室內的基板固持器上。GCIB及分子束可產生於真空腔室內,且其可與彼此及/或基板以物理方式交互作用以蝕刻基板、在基板上沉積、或將基板平滑化。
1‧‧‧流程圖
10‧‧‧步驟
11‧‧‧步驟
12‧‧‧步驟
13‧‧‧步驟
14‧‧‧步驟
15‧‧‧步驟
16‧‧‧步驟
20‧‧‧部份
20’‧‧‧部份
20”‧‧‧部份
22‧‧‧基板
24‧‧‧材料層
24’‧‧‧材料層
24”‧‧‧材料層
24'''‧‧‧材料層
25‧‧‧GCIB
25’‧‧‧GCIB
25”‧‧‧GCIB
26‧‧‧遮罩層
27‧‧‧第一圖案
28‧‧‧第二圖案
30A‧‧‧射束能量分布
30B‧‧‧射束能量分布
30C‧‧‧射束能量分布
30D‧‧‧射束能量分布
35‧‧‧方向
40‧‧‧第一射束角度分布函數
40’‧‧‧第二射束角度分布函數
42‧‧‧第一尖峰
42’‧‧‧第二尖峰
44‧‧‧第一寬度
44’‧‧‧第二寬度
45‧‧‧入射方向
100‧‧‧GCIB處理系統
100’‧‧‧GCIB處理系統
100”‧‧‧GCIB處理系統
102‧‧‧真空容室
104‧‧‧來源腔室
106‧‧‧游離/加速腔室
108‧‧‧處理腔室
110‧‧‧噴嘴
111‧‧‧第一氣體來源
112‧‧‧第二氣體來源
113‧‧‧氣體計量閥
113A‧‧‧第一氣體控制閥
113B‧‧‧第二氣體控制閥
114‧‧‧氣體供應管
116‧‧‧滯止腔室
118‧‧‧氣體團簇射束
120‧‧‧氣體分離器
122‧‧‧游離器
124‧‧‧燈絲
126‧‧‧高電壓電極
128‧‧‧GCIB
128A‧‧‧製程GCIB
128A’‧‧‧經修改之製程GCIB
130‧‧‧射束電子裝置
134‧‧‧陽極電源
136‧‧‧燈絲電源
138‧‧‧抽取電源
140‧‧‧加速器電源
142‧‧‧透鏡電源
144‧‧‧透鏡電源
146‧‧‧射束過濾器
148‧‧‧射束閘
150‧‧‧基板固持器
150A‧‧‧交替位置
152‧‧‧基板
152A‧‧‧交替位置
160‧‧‧X掃描致動器
162‧‧‧Y掃描致動器
164‧‧‧Y掃描運動
166‧‧‧射束入射角
168‧‧‧分子束噴嘴
170‧‧‧入射角
170A‧‧‧真空泵抽系統
170B‧‧‧真空泵抽系統
170C‧‧‧真空泵抽系統
172‧‧‧氣體來源
174‧‧‧分子束
176‧‧‧交叉點
178‧‧‧入射角
180‧‧‧射束電流感測器
181‧‧‧偏移距離
182‧‧‧射束偏離距離
184‧‧‧入射角
186‧‧‧射束偏移距離
188‧‧‧分子束噴嘴
190‧‧‧控制系統
192‧‧‧入射角
194‧‧‧入射角
196‧‧‧入射角
198‧‧‧偏移距離
200‧‧‧入射角
202‧‧‧入射角
204‧‧‧偏移距離
252‧‧‧基板
253‧‧‧定位平台
254‧‧‧基板固持表面
255‧‧‧電絕緣層
260‧‧‧基部
262‧‧‧X-Y控制器
264‧‧‧方向
266‧‧‧射束入射角
280‧‧‧光發送器
282‧‧‧光接收器
284‧‧‧入射的光訊號
286‧‧‧投射撞擊區域
288‧‧‧散射的光訊號
300‧‧‧剖面
302a‧‧‧線型熱離子燈絲
302b‧‧‧線型熱離子燈絲
302c‧‧‧線型熱離子燈絲
304a‧‧‧射束形成電極
304b‧‧‧射束形成電極
304c‧‧‧射束形成電極
306a‧‧‧電子斥拒器電極
306b‧‧‧電子斥拒器電極
306c‧‧‧電子斥拒器電極
308a‧‧‧絕緣體
308b‧‧‧絕緣體
308c‧‧‧絕緣體
308d‧‧‧絕緣體
308e‧‧‧絕緣體
308f‧‧‧絕緣體
310‧‧‧熱電子
312‧‧‧二次電子
314‧‧‧二次電子
316‧‧‧二次電子
350‧‧‧壓力單元腔室
352‧‧‧惰性氣體來源
354‧‧‧壓力感測器
400‧‧‧剖面
408‧‧‧燈絲電源
410‧‧‧電弧電源
412‧‧‧電子偏壓電源
414‧‧‧電子斥拒器電源
416‧‧‧電子抽取電源
418‧‧‧離子斥拒器電源
420‧‧‧加速電源
422‧‧‧氣體進料管線
424‧‧‧氣體閥
426‧‧‧氣體進入開孔
430‧‧‧光纖控制器
432‧‧‧控制連結
434‧‧‧電纜
442‧‧‧電漿區域
444‧‧‧射束區域
452‧‧‧細桿陽極電極陣列
458‧‧‧細桿電子斥拒器電極陣列
464‧‧‧細桿離子斥拒器電極陣列
470‧‧‧電漿電子來源
472‧‧‧電漿腔室
476‧‧‧熱離子燈絲
477‧‧‧絕緣體
480‧‧‧抽取開孔
482‧‧‧開孔
484‧‧‧開孔
488‧‧‧電子加速電極
490‧‧‧電子減速電極
1000‧‧‧GCIB處理系統
1302‧‧‧方塊
1306‧‧‧方塊
1308‧‧‧方塊
在隨附圖式中:圖1為說明根據實施例之用於蝕刻基板的方法之流程圖;圖2A至2C以示意圖說明根據其他實施例之用於蝕刻基板的方法;圖3A提供GCIB之射束能量分布函數的示意圖表說明;圖3B提供GCIB之射束角度分布函數的示意圖表說明; 圖4A至4L以圖表方式繪示有關在基板上蝕刻材料的示範數據;圖5為GCIB處理系統之說明圖;圖6為GCIB處理系統之另一說明圖;圖7為GCIB處理系統之又另一說明圖;圖8為用於GCIB處理系統之游離源的說明圖;圖9為用於GCIB處理系統之另一游離源的說明圖;圖10為另一GCIB處理系統之說明圖;圖11A-11C為根據一或更多實施例之可能與分子束交叉的離子束之說明圖;圖12A-12H為根據一或更多實施例之可能與分子束交叉的離子束之說明圖;且圖13為說明根據實施例之用於蝕刻基板的方法之流程圖。
在不同實施例中描述使用氣體團簇離子束(gas cluster ion beam,GCIB)處理蝕刻基板上的層(包含含矽層、含鍺層、含金屬層、及半導體層)之方法。相關領域中具有通常知識者將察知,該等實施例可在無特定細節之一或更多者的情況下、或在具有其它替代者及/或額外方法、材料、或構件的情況下實施。在其它情況下,為入熟知的結構、材料、或操作係不詳細顯示或描述,以免混淆本發明之不同實施例的實施態樣。同樣地,為了說明之目的,提出特定數量、材料、及配置以提供本發明之透徹理解。然而,本發明可在無特定細節 的情況下實施。再者,吾人理解圖中所示之不同實施例為說明性表示且未必按比例繪製。
在整篇說明書中提及「一實施例」或「實施例」意指與該實施例有關而描述的特定特徵、結構、材料、或特性係包含在本發明之至少一實施例中,但不代表其存在於每一實施例中。因此,在整篇說明書各處出現用語「在一實施例中」或「在實施例中」未必係指本發明之相同實施例。再者,可在一或更多實施例中將特定特徵、結構、材料、或特性以任何適當方式加以結合。在其他實施例中,可包含不同額外的層及/或結構,且/或可省略所述之技術特徵。
在此所用之「基板」係廣義地代表根據本發明而受處理的物件。基板可包含元件(尤其是半導體或其它電子元件)之任何材料部分或結構,且可例如為基底基板結構(像是半導體晶圓)、或在基底基板結構上或上置於基底基板結構的層(像是薄膜)。因此,未意圖將基板限制為任何經圖案化或未經圖案化之特定的基底結構、下置層或上置層,而是將基板設想成包含任何此等層或基底結構、及層及/或基底結構之任何組合。以下描述可能會提及特定類型的基板,但此僅為了說明性目的而並非限制。
如以上部分所述,除了其他製程結果之外,蝕刻速率、蝕刻選擇性、包括CD(臨界尺寸)控制之輪廓控制、及表面粗糙度提供用以判定成功的圖案蝕刻之衡量標準。做為範例,在將特徵部圖案轉移至基板上的材料層中時,重要的是以足供充分製程產量之速率而選擇性地蝕刻一材料,同時控制圖案輪廓、及圖案表面與相鄰表面之表面粗糙度。再者,重要的是針對形成在基板上之材料層中的所有特徵部圖案均勻地控制蝕刻速率、蝕刻選擇性、及蝕刻輪廓, 及/或針對形成在基板上之材料層中的特徵部圖案空間地調整對於此等參數的控制。
因此,根據不同實施例,描述用以蝕刻基板上之材料(像是含Si材料、含Ge材料、含金屬材料、半導體材料、及/或硫族化合物材料)的方法。現參照圖式(其中相似的元件符號遍及若干視圖皆代表相應的部件),圖1提供流程圖1,其說明根據實施例之用以蝕刻基板上之不同材料的方法。此外,用於蝕刻基板之示範方法係以圖形方式繪於圖2A及2B。
流程圖1中所例示的方法始於步驟10,維持用以在氣體團簇離子束(GCIB)處理系統中固持基板22的基板固持器周圍的減壓環境。基板22可包含第一材料、第二材料、及曝露出第一材料及/或第二材料的表面。GCIB處理系統可包含以下在圖5、6、或7中所述之GCIB處理系統(100、100'、或100")之任一者、或其任何組合。
如圖2A中所示,可使用GCIB 25蝕刻上置於基板22之至少一部分20的材料層24。做為範例,第一材料可包含材料層24,而第二材料可包含基板22。曝露出第一材料及/或第二材料的表面可在材料層24的蝕刻期間包含材料層24之上表面,或可在蝕刻一旦進展到穿過材料層24時包含材料層24及基板22之間的界面。
或者是,如圖2B中所例示,可使用GCIB 25'蝕刻上置於基板22之至少一部分20'的材料層24',俾將形成在遮罩層26中的第一圖案27轉移至材料層24'而在其中產生第二圖案28。做為範例,第一材料可包含遮罩層26,而第二材料可包含材料層24'。曝露出第一材料及/或第二材料的表面可包含遮罩層26之曝露表面及材料層24'之曝露表面。
如圖2B中所例示,其中形成有第一圖案27的遮罩層26係製備在材料層24'上或上方。遮罩層26可藉由將基板22塗覆以一層輻射敏感材料(例如光阻)而形成。舉例來說,可使用旋塗技術(像是藉軌道系統所促成之該等製程)將光阻施加至基板。此外,舉例來說,使用微影系統使光阻層曝露於影像圖案,並隨後在顯影溶液中顯影該影像圖案而於該光阻層中形成圖案。
光阻層可包含248nm(奈米)阻劑、193nm阻劑、157nm阻劑、或EUV(極紫外線)阻劑。可使用軌道系統形成光阻層。舉例來說,軌道系統可包含商業上可自Tokyo Electron Limited(TEL)取得之CLEAN TRACK ACT8、ACT12、或LITHIUS阻劑塗覆及顯影系統。其它用以在基板上形成光阻膜的系統及方法係為該等在旋塗阻劑技術中具有通常知識者所熟知。
對於電磁(EM)輻射圖案之曝露可在乾式或濕式光微影系統中執行。可使用任何適合的習知步進式微影系統或掃描式微影系統來形成影像圖案。舉例來說,光微影系統在商業上可能可取自ASML Netherlands B.V.(De Run 6501,5504 DR Veldhoven,The Netherlands)或Canon USA,Inc.,Semiconductor Equipment Division(3300 North First Street,San Jose,CA 95134)。
顯影製程可包含在顯影系統(例如軌道系統)中使基板曝露至顯影溶液。舉例來說,軌道系統可包含商業上可自Tokyo Electron Limited(TEL)所取得之CLEAN TRACK ACT8、ACT12、或LITHIUS阻劑塗覆及顯影系統。
可使用濕式剝除製程、乾式電漿灰化製程、或乾式非電漿灰化製程將光阻層移除。
遮罩層26可包含複數層,其中,形成於遮罩層26中的第一圖案27可使用濕式處理技術、乾式處理技術、或此兩種技術之組合加以產生。形成具 有單一層或複數層之遮罩層26係為該等在微影及圖案蝕刻技術領域中具有通常知識者所理解。一旦在遮罩層26中形成第一圖案27,便可利用遮罩層26將下置層圖案化。
又或者是,如圖2C中所例示,可使用GCIB 25"蝕刻上置於基板22之至少一部分20"的第一材料層24"及第二材料層24''',俾以例如將第一材料層24"及第二材料層24'''平坦化。做為範例,第一材料可包含第一材料層24",而第二材料可包含第二材料層24'''。曝露出第一材料及/或第二材料的表面可包含第一材料層24"之曝露表面及第二材料層24'''之曝露表面。
該方法續行於步驟11,將基板22穩固地固持在GCIB處理系統之減壓環境中。基板22的溫度可或可不加以控制。舉例來說,在GCIB處理製程期間可加熱或冷卻基板22。此外,基板22可包含導電材料、半導電材料、或介電材料、或其二或更多者之任何組合。舉例來說,基板22可包含半導體材料,像是矽、矽覆絕緣層(silicon-on-insulator,SOI)、鍺、或其組合。此外,舉例來說,基板22可包含結晶矽。
再者,基板22可包含基板22之部分(20、20'、20")上的第一及/或第二材料層(24、24'、24"、24''')。第一及/或第二材料層(24、24'、24"、24''')可包含含矽材料及/或含鍺材料。該含矽材料可包含Si及選自於由O、N、C、及Ge所組成之群組的至少一元素。該含鍺材料可包含Ge及選自於由O、N、C、及Si所組成之群組的至少一元素。
舉例來說,第一及/或第二材料層(24、24'、24"、24''')可包含矽、摻雜矽、未摻雜矽、非晶矽、單晶矽、多晶矽、氧化矽(SiOx,其中x>0;例如SiO2)、氮化矽(SiNy,其中y>0;例如SiN1.33、或Si3N4)、碳化矽(SiCz,其中z>0)、氮氧 化矽(SiOxNy,其中x、y>0)、碳氧化矽(SiOxCy,其中x、y>0)、碳氮化矽(SiCxNy,其中x、y>0)、或矽鍺(SixGe1-x,其中x為Si的原子分率;1-x為Ge的原子分率,且0<1-x<1)。上列材料之任一者均可摻雜有或注入有選自於由B、C、H、N、P、As、Sb、O、S、Se、Te、F、Cl、Br、及I所組成之群組的元素。再者,上列材料之任一者均可摻雜有或注入有金屬、鹼金屬、鹼土金屬、稀土金屬、過渡金屬、或後過渡金屬(post-transition metal)。又再者,上列材料之任一者可處於非晶相或結晶相。
此外,第一及/或第二材料層(24、24'、24"、24''')可包含含金屬材料。該含金屬材料可包含鹼金屬、鹼土金屬、過渡金屬、後過渡金屬、貴金屬、或稀土金屬。該含金屬材料可包含選自於由Sc、Y、Zr、Hf、Nb、Ta、V、Cr、Mo、W、Mn、Re、Fe、Ru、Co、Rh、Ni、Pd、Pt、Cu、Ag、Au、Zn、Cd、B、Al、Ga、In、及Sn所組成之群組的過渡金屬或後過渡金屬。該含金屬材料可包含金屬、金屬合金、金屬氧化物、金屬氮化物、金屬碳化物、金屬矽化物、金屬鍺化物、金屬硫化物...等。
再者,第一及/或第二材料層(24、24'、24"、24''')亦可包含半導體材料。該半導體材料可包含化合物半導體,像是III-V族化合物(例如GaAs、GaN、GaP、InAs、InN、InP...等)、II-V族化合物(例如Cd3P2...等)、或II-VI族化合物(例如ZnO、ZnSe、ZnS...等)(II族、III族、V族、VI族係指元素週期表中傳統或舊的IUPAC標記;根據經修訂或新的IUPAC標記,此等族分別會是指2族、13族、15族、16族)。材料層(24、24')亦可包含硫族化合物(例如硫化物、硒化物、碲化物)。
又再者,第一及/或第二材料層(24、24'、24"、24''')可包含光阻(例如上列阻劑材料之一者)、軟遮罩層、硬遮罩層、抗反射塗覆(ARC)層、有機平坦化層(OPL)、或有機介電層(ODL)、或其二或更多者之組合。
在一範例中,第一材料包含光阻,而第二材料包括含矽材料、含鍺材料、含金屬材料、半導體材料、或硫族化合物材料。在另一範例中,第一材料包含矽,而第二材料包含含矽材料,該含矽材料具有Si及選自於由O、N、C、及Ge所組成之群組的一或更多元素。矽可包含摻雜矽、未摻雜矽、p-摻雜矽、n-摻雜矽、結晶矽、非晶矽、單晶矽(或單晶體矽)、多晶矽...等。在另一範例中,第一材料包括含矽材料,而第二材料包括含鍺材料。在又另一範例中,第一材料包括含矽材料,而第二材料包括含金屬材料。
在步驟12中,選定一或更多目標蝕刻製程衡量標準。如以上所指出且於以下更詳加討論,目標蝕刻製程衡量標準可包含第一材料之蝕刻速率、第二材料之蝕刻速率、第一材料及第二材料之間的蝕刻選擇性、第一材料的表面粗糙度、第二材料的表面粗糙度、第一材料的蝕刻輪廓、及第二材料的蝕刻輪廓。
在步驟13中,由含有至少一蝕刻氣體之加壓氣體混合物形成氣體團簇離子束(GCIB)。該至少一蝕刻氣體可包含鹵素元素。該至少一蝕刻氣體可包含鹵素元素及選自於由C、H、N、及S所組成之群組的一或更多元素。
舉例來說,該至少一蝕刻氣體可包含F2、Cl2、Br2、NF3、或SF6。此外,舉例來說,該至少一蝕刻氣體可包含鹵化物,像是HF、HCl、HBr、或HI。再者,舉例來說,該至少一蝕刻氣體可包含鹵代甲烷,像是單取代鹵代甲烷(例如CH3F、CH3Cl、CH3Br、CH3I)、雙取代鹵代甲烷(例如CH2F2、CH2ClF、CH2BrF、 CH2FI、CH2Cl2、CH2BrCl、CH2ClI、CH2Br2、CH2BrI、CH2I2)、三取代鹵代甲烷(例如CHF3、CHClF2、CHBrF2、CHF2I、CHCl2F、CHBrClF、CHClFI、CHBr2F、CHBrFI、CHFI2、CHCl3、CHBrCl2、CHCl2I、CHBr2Cl、CHBrClI、CHClI2、CHBr3、CHBr2I、CHBrI2、CHI3)、或四取代鹵代甲烷(例如CF4、CClF3、CBrF3、CF3I、CCl2F2、CBrClF2、CClF2I、CBr2F2、CBrF2I、CF2I2、CCl3F、CBrCl2F、CCl2FI、CBr2ClF、CBrClFI、CClFI2、CBr3F、CBr2FI、CBrFI2、CFI3、CCl4、CBrCl3、CCl3I、CBr2Cl2、CBrCl2I、CCl2I2、CBr3Cl、CBr2ClI、CBrClI2、CClI3、CBr4、CBr3I、CBr2I2、CBrI3、CI4)。
為了形成GCIB,應選定在相對高壓(例如一大氣壓或更大的壓力)下獨自或連同載體氣體(例如稀有氣體元素或氮)以氣相存在的蝕刻氣體組分。
在一實施例中,當蝕刻含矽及/或含鍺材料時,該至少一蝕刻氣體包含選自於由F、Cl、及Br所組成之群組的鹵素元素。該至少一蝕刻氣體可更包含C、或H,或C及H兩者。舉例來說,該至少一蝕刻氣體可包含鹵化物或鹵代甲烷。此外,舉例來說,該至少一蝕刻氣體可包含SF6、NF3、F2、Cl2、Br2、HF、HCl、HBr、CClF3、CBrF3、CHClF2、或C2ClF5,或其二或更多者之任何組合。
在另一實施例中,當蝕刻含矽及/或含鍺材料時,該至少一蝕刻氣體包含二不同鹵素元素。第一鹵素元素可選自於由Cl及Br所組成之群組,而第二鹵素元素可包含F。該至少一蝕刻氣體可更包含C、或H,或C及H兩者。舉例來說,該至少一蝕刻氣體可包含鹵化甲烷。此外,舉例來說,該至少一蝕刻氣體可包含CClF3、CBrF3、CHClF2、或C2ClF5,或其二或更多者之任何組合。
在另一實施例中,當蝕刻具有Si及選自於由O、C、N、及Ge所組成之群組的一或更多元素之含矽材料時,該至少一蝕刻氣體包含C、H及鹵素元 素。舉例來說,蝕刻氣體可包含鹵代甲烷。此外,舉例來說,蝕刻氣體可包含CH3F、CH3Cl、CH3Br、CHF3、CHClF2、CHBrF2、CH2F2、CH2ClF、CH2BrF、CHCl2F、CHBr2F、CHCl3、CHBrCl2、CHBr2Cl、或CHBr3、或其二或更多者之任何組合。
在另一實施例中,當蝕刻含金屬材料時,蝕刻氣體包含選自於由F、Cl、及Br所組成之群組的鹵素元素。蝕刻氣體可更包含C、或H、或C及H兩者。舉例來說,蝕刻氣體可包含鹵化物或鹵代甲烷。此外,舉例來說,蝕刻氣體可包含SF6、NF3、F2、Cl2、Br2、HF、HCl、HBr、CClF3、CBrF3、CHClF2、或C2ClF5、或其二或更多者之任何組合。
在另一實施例中,當蝕刻含金屬材料時,蝕刻氣體包含二不同鹵素元素。第一鹵素元素可選自於由Cl及Br所組成之群組,而第二鹵素元素可包含F。蝕刻氣體可更包含C、或H、或C及H兩者。舉例來說,蝕刻氣體可包含鹵代甲烷。此外,舉例來說,蝕刻氣體可包含CClF3、CBrF3、CHClF2、或C2ClF5、或其二或更多者之任何組合。
在又另一實施例中,當蝕刻硫族化合物材料時,蝕刻氣體包含鹵素元素。舉例來說,蝕刻氣體可包含鹵化物或鹵代甲烷。此外,舉例來說,蝕刻氣體可包含F2、Cl2、Br2、HF、HCl、HBr、NF3、SF6、CH3F、CH3Cl、CH3Br、CHF3、CHClF2、CHBrF2、CH2F2、CH2ClF、CH2BrF、CHCl2F、CHBr2F、CHCl3、CHBrCl2、CHBr2Cl、或CHBr3、或其二或更多者之任何組合。
該至少一蝕刻氣體可包含第一蝕刻氣體及第二蝕刻氣體。在一實施例中,第一蝕刻氣體包含Cl或Br,而第二蝕刻氣體包含F。舉例來說,第一蝕刻氣體可包含Cl2,而第二蝕刻氣體可包含NF3。在另一實施例中,第一蝕刻氣體 包含鹵代甲烷或鹵化物,而第二蝕刻氣體包含F、Cl、或Br。在另一實施例中,第一蝕刻氣體含有C、H、及鹵素元素,而第二蝕刻氣體含有F、Cl、或Br。舉例來說,第一蝕刻氣體可包含CHF3、CHCl3、或CHBr3,而第二蝕刻氣體可包含NF3或Cl2。可將第一蝕刻氣體及第二蝕刻氣體連續引入GCIB。或者是,可將第一蝕刻氣體及第二蝕刻氣體交替並依序引入GCIB。
加壓氣體混合物可更包含:含有鹵素元素的化合物;含有F及C的化合物;含有H及C的化合物;或含有C、H、及F的化合物;或其二或更多者之任何組合。此外,加壓氣體混合物可更包括含氯化合物、含氟化合物、或含溴化合物。此外,加壓氣體混合物可更包含含有選自於由C、F、H、Cl、及Br所組成之群組的一或更多元素之化合物。又此外,加壓氣體混合物可更包含含矽化合物、含鍺化合物、含氮化合物、含氧化合物、或含碳化合物、或其二或更多者之任何組合。再者,加壓氣體混合物可更包含選自於由B、C、H、Si、Ge、N、P、As、O、S、F、Cl、及Br所組成之群組的一或更多元素。又再者,加壓氣體混合物可更包含He、Ne、Ar、Kr、Xe、O2、CO、CO2、N2、NO、NO2、N2O、NH3、F2、HF、SF6、或NF3、或其二或更多者之任何組合。
更再者,GCIB可由包含至少一摻雜物、或用以沉積或成長薄膜的成膜組分、或其二或更多者之任何組合的加壓氣體混合物所產生。
在另一實施例中,GCIB可藉著交替並依序使用含蝕刻氣體之第一加壓氣體混合物及含成膜氣體之第二加壓氣體混合物而產生。在更其他實施例中,可在蝕刻期間調整GCIB的組成及/或滯止壓力(stagnation pressure)。
在步驟14中,設定GCIB的GCIB製程條件之一或更多GCIB性質以達成一或更多目標蝕刻製程衡量標準。為了達成以上所指出之目標蝕刻製程衡 量標準(像是蝕刻速率、蝕刻選擇性、表面粗糙度控制、輪廓控制...等),可藉由執行以下者來產生GCIB:選定射束加速電位、一或更多射束聚焦電位、及射束劑量;根據該射束加速電位使GCIB加速;根據該一或更多射束聚焦電位使GCIB聚焦;及根據該射束劑量將加速之GCIB照射至基板之至少一部分上。
再者,除了此等GCIB性質之外,亦可選定射束能量、射束能量分布、射束角度分布、射束發散角度、滯止壓力、滯止溫度、質量流率、團簇尺寸、團簇尺寸分布、射束尺寸、射束組成、射束電極電位、或氣體噴嘴設計(像是噴嘴喉徑、噴嘴長度、及/或噴嘴發散部半角度)。可選定前述GCIB性質之任何一或更多者以達成對目標蝕刻製程衡量標準(像是以上指出該等者)的控制。再者,可修改前述GCIB性質之任何一或更多者以達成對目標蝕刻製程衡量標準(像是以上指出該等者)的控制。
在圖3A中,顯示GCIB之射束能量分布函數的示意圖表說明。舉例來說,圖3A以圖表說明若干射束能量分布(30A、30B、30C、30D),其中,當吾入在方向35上行經此等分布時,尖峰射束能量降低且能量分布變寬。
GCIB的射束能量分布函數可藉以下者加以修改:沿著穿過加壓區的GCIB路徑引導各GCIB,使得GCIB之至少一部分穿越該加壓區。對於射束能量分布的修改程度可藉由沿著GCIB路徑之至少一部分的壓力-距離(d)積分加以特徵化。當壓力-距離積分值(藉著增加壓力及/或路徑長度(d)而)增加時,射束能量分布變寬且尖峰能量降低。當壓力-距離積分值(藉著減少壓力及/或路徑長度(d)而)減低時,射束能量分布變窄且尖峰能量增加。做為範例,吾入可使射束能量分布變寬以增加射束發散度、或者吾入可使射束能量分布變窄以降低射束發散度。
沿著GCIB路徑之至少一部分的壓力-距離積分可等於或大於約0.0001torr-cm。或者是,沿著GCIB路徑之至少一部分的壓力-距離積分可等於或大於約0.001torr-cm。又或者是,沿著GCIB路徑之至少一部分的壓力-距離積分可等於或大於約0.01torr-cm。做為範例,沿著GCIB路徑之至少一部分的壓力-距離積分可在0.0001torr-cm至0.01torr-cm之範圍內。做為另一範例,沿著GCIB路徑之至少一部分的壓力-距離積分可在0.001torr-cm至0.01torr-cm之範圍內。
或者是,GCIB的射束能量分布函數可藉由修改或改變各GCIB之電荷狀態加以修改。舉例來說,可藉由以下者來修改電荷狀態:調整在氣體團簇之電子碰撞誘發(collision-induced)游離中所利用的電子之電子通量、電子能量、或電子能量分布。
在圖3B中,顯示GCIB之射束角分布函數的示意圖表說明。舉例來說,圖3B以圖表說明第一射束角度分布函數40,其特徵在於入射方向45(亦即,相對角為0°)的第一尖峰42以及第一寬度44(例如,半高寬(FWHM))。此外,舉例來說,圖3B顯示第二射束角度分布函數40',其特徵在於入射方向45(亦即,相對角為0°)的第二尖峰42'以及第二寬度44'(例如,半高寬(FWHM))。第一射束角分布函數40代表窄分布(或相對較窄的射束發散角度),而第二射束角度分布函數40'代表相對較寬的分布(或相對較寬的射束發散角度)。因此,可藉由改變射束角度分布函數(例如,改變第一射束角度分布函數40及第二射束角分布函數40'之間的角度分布)而調整GCIB在基板上相對於垂直入射的方向性。可使用針對修改射束能量分布函數所述之前述技術來修改射束角度分布函數或射束發散角度。
在一實施例中,GCIB製程條件之一或更多GCIB性質可包含GCIB組成、射束劑量、射束加速電位、射束聚焦電位、射束能量、射束能量分布、射束角度分布、射束發散角度、該GCIB組成之流率、滯止壓力、滯止溫度、該GCIB穿過之加壓區的背景氣體壓力、或該GCIB穿過之加壓區的背景氣體流率(例如以下將更詳加討論的P單元值)。
在另一實施例中,設定該一或更多GCIB性質以達成一或更多目標蝕刻製程衡量標準可包含設定GCIB組成、射束加速電位、GCIB組成之流率、及GCIB穿過之加壓區的背景氣體流率,俾以達成以下之二或更多者:對於第一材料及/或第二材料之目標蝕刻速率、第一材料及第二材料之間的目標蝕刻選擇性、及第一材料及/或第二材料之目標表面粗糙度。
如以下將顯示,可調整該一或更多GCIB性質以將第一材料及第二材料之間的目標蝕刻選擇性改變成小於一、實質上近似一、及大於一的值。再者,如以下將顯示,可調整該一或更多GCIB性質以將第一材料及/或第二材料的目標表面粗糙度改變成小於或等於5埃的值。又再者,可調整該一或更多GCIB性質以達成對於第一及/或第二材料之相對高的蝕刻速率條件、或達成對於第一及/或第二材料之相對低的蝕刻速率條件。
在步驟15中,根據射束加速電位使GCIB朝向基板22加速穿過減壓環境。對於GCIB而言,射束加速電位的範圍可高達100kV、射束能量的範圍可高達100keV、團簇尺寸的範圍可大至數萬個原子、且射束劑量的範圍可高達約每平方公分1x1017團簇。舉例來說,GCIB之射束加速電位可在約1kV至約70kV的範圍內(亦即,假設平均團簇電荷狀態為一,射束能量可在約1keV至約70keV 的範圍內)。此外,舉例來說,GCIB之射束劑量可在約每平方公分1x1012團簇至約每平方公分1x1014團簇的範圍內。
可將GCIB建立成具有在約每原子0.25eV至約每原子100eV之範圍內的每原子能量比率。或者是,可將GCIB建立成具有在約每原子0.25eV至約每原子10eV之範圍內的每原子能量比率。或者是,可將GCIB建立成具有在約每原子1eV至約每原子10eV之範圍內的每原子能量比率。
建立具有理想的每原子能量比率之GCIB可包含選定射束加速電位、用以形成GCIB的滯止壓力、或氣體流率、或其任何組合。可使用射束加速電位以增加或降低射束能量或每離子團簇之能量。舉例來說,射束加速電位上的增加造成最大射束能量上的增加,並因此造成特定團簇尺寸之每原子能量比率上的增加。此外,可使用滯止壓力以增加或減小特定團簇之團簇尺寸。舉例來說,GCIB形成期間之滯止壓力上的增加造成團簇尺寸(亦即,每團簇的原子數量)上的增加,並因此造成特定射束加速電位之每原子能量比率上的降低。
在此,射束劑量係提供為每單位面積之團簇數量的單位。然而,射束劑量亦可包含射束電流及/或時間(例如,GCIB駐留時間)。舉例來說,可測量射束電流並將其保持固定,而變化時間以改變射束劑量。或者是,舉例來說,可將每單位面積之團簇以其撞擊基板表面的速率(亦即,每單位時間、每單位面積之團簇數量)保持固定,而變化時間以改變射束劑量。
在步驟16中,將GCIB照射至基板22之表面的至少一部分上,俾以蝕刻基板22上的第一材料及第二材料之至少一者。
圖1中所述的方法可更包含改變該一或更多目標蝕刻製程衡量標準而創造一或更多新的目標蝕刻製程衡量標準、及設定GCIB之額外GCIB製程條件的一或更多額外GCIB性質以達成該一或更多新的目標蝕刻製程衡量標準。
根據另一實施例,除了以該GCIB照射基板22之外,可使用另一GCIB以供額外的控制及/或功能。藉由另一GCIB(像是第二GCIB)照射基板22可在使用該GCIB之前、期間、或之後進行。舉例來說,可使用另一GCIB將基板22之一部分摻以雜質。此外,舉例來說,可使用另一GCIB以改質基板22之一部分而改變基板22的性質。此外,舉例來說,可使用另一GCIB以蝕刻基板22之一部分而將額外的材料自基板22移除。此外,舉例來說,可使用另一GCIB以清潔基板22之一部分而將額外的材料或殘留物(像是含鹵素殘留物)自基板22移除。又此外,舉例來說,可使用另一GCIB在基板22之一部分上成長或沉積材料。該等摻雜、改質、蝕刻、清潔、生長、或沉積可包含引入選自於由He、Ne、Ar、Xe、Kr、B、C、Se、Te、Si、Ge、N、P、As、O、S、F、Cl、及Br所組成之群組的一或更多元素。
根據另一實施例,可在以GCIB照射之前或之後清潔受到GCIB照射的基板22之至少一部分(20、20'、20")。舉例來說,清潔製程可包含乾式清潔製程及/或濕式清潔製程。此外,在以GCIB照射之後,可將受到GCIB照射的基板22之至少一部分(20、20'、20")加以退火。
根據另一實施例,在製備及/或蝕刻基板22時,可使基板22或第二圖案28(特徵部圖案)之任何部分受到修正性處理。在修正性處理期間,可使用耦接至GCIB處理系統的量測系統(原位或非原位)獲取量測數據。該量測系統可包含任何類型的基板診斷系統,該基板診斷系統包含但不限於:光學診斷系 統、X射線螢光光譜系統、四點探針系統、穿透式電子顯微鏡(TEM)、原子力顯微鏡(AFM)、掃描式電子顯微鏡(SEM)...等。此外,該量測系統可包含光學數位輪廓計(ODP)、散射計、橢圓偏光計、反射計、干涉計、或其二或更多者之任何組合。
舉例來說,量測系統可構成光學散射儀系統。該散射儀系統可包含併入射束輪廓橢圓偏振技術(beam profile ellipsometry)(橢圓偏光計)及射束輪廓反射技術(beam profile reflectometry)(反射計)的散射計,其在商業上可自Therma-Wave Inc.(1250 Reliance Way,Fremont,CA 94539)或Nanometrics Inc.(1550 Buckeye Drive,Milpitas,CA 95035)取得。此外,舉例來說,原位量測系統可包含用以測量基板上的量測數據之整合式光學數位輪廓儀(iODP)散射技術模組。
量測數據可包含參數數據,像是與基板、形成在基板上的任何層或次層、及/或基板上的元件之任何部分相關的幾何、機械、電及/或光學參數。舉例來說,量測數據可包含可藉上述量測系統所測量之任何參數。此外,舉例來說,量測數據可包含膜厚、表面及/或界面粗糙度、表面污染、特徵部深度、溝槽深度、貫孔深度、特徵部寬度、溝槽寬度、貫孔寬度、臨界尺寸(CD)、電阻、或其二或更多者之任何組合。
可在基板上之二或更多位置測量量測數據。此外,可針對一或更多基板獲取及收集此數據。該一或更多基板可例如包含一卡匣的基板。量測數據係在該一或更多基板之至少一者上的二或更多位置所測量,且可例如在該一或更多基板之每一者上的複數位置加以獲取。隨後,可使用數據擬合演算法將 複數基板之每一者上的複數位置從測量點擴展至未測量點。舉例來說,該數據擬合演算法可包含內插法(線性或非線性)或外插法(線性或非線性)或其組合。
一旦使用量測系統就一或更多基板收集了量測數據,便將該量測數據提供給控制器以計算修正數據。量測數據可經由實體連接(例如電纜)、或無線連接、或其組合而流通於量測系統及控制器之間。此外,量測數據可經由內部網路或網際網路連結而流通。或者是,量測數據可經由電腦可讀媒體而流通於量測系統與控制器之間。
可針對基板之特定位置(location specific)處理而計算修正數據。特定基板之修正數據包含用於將GCIB劑量做為基板上之位置的函數加以調節、俾以在與輸入量測數據相關聯之參數數據及特定基板之目標參數數據之間達成改變的製程條件。舉例來說,特定基板之修正數據可包含決定用於以GCIB修正特定基板之參數數據之不均勻性的製程條件。或者是,舉例來說,特定基板之修正數據可包含決定用於以GCIB產生特定基板之參數數據之特意不均勻性的製程條件。
控制器使用參數數據方面的期望變化及GCIB劑量之間所建立的關係、以及GCIB劑量及具有一組GCIB處理參數之GCIB製程條件之間所建立的關係來決定用於每一基板的修正數據。舉例來說,可運用數學演算法以拿取與輸入之量測數據相關的參數數據、計算輸入之參數數據及目標參數數據之間的差異、反轉GCIB處理圖形(亦即,蝕刻圖形或沉積圖形或兩者)以擬合此差異、並使用參數數據方面的變化及GCIB劑量之間的關係產生射束劑量等值線以達成GCIB處理圖形。隨後,舉例來說,可使用射束劑量與GCIB製程條件之間的關係決定GCIB處理參數以影響所計算出的射束劑量等值線。GCIB處理參數可包含射 束劑量、射束面積、射束輪廓、射束強度、射束掃描速率、或曝光時間(或射束駐留時間)、或其二或更多者之任何組合。
在此實施例中可成功運用選擇數學演算法的許多不同方式。在另一實施例中,該射束劑量等值線可選擇性地沉積額外材料,俾以達成參數數據上的期望變化。
可使用GCIB將修正數據應用至基板。在修正處理期間,可將GCIB用以執行以下之至少一者:平滑化、非晶化、改質、摻雜、蝕刻、成長、或沉積、或其二或更多者之任何組合。將修正數據應用至基板可有助於基板缺陷的修正、基板表面平坦度的修正、層厚的修正、或層附著度的改善。一旦依照GCIB的規格加以處理,便可原位或非原位地檢驗(複數)基板的均勻性或(複數)基板之參數數據的分布,並可適當地完成或改進製程。
現在轉向圖4A至4L,其以圖表方式繪示有關在基板上蝕刻材料的示範數據。圖4A為做為十二(12)GCIB製程條件之函數的二氧化矽(SiO2)之正規化蝕刻速率的長條圖。在表1中提供用於該十二(12)GCIB蝕刻製程之GCIB製程條 件。每一GCIB製程條件的蝕刻速率係由使用Ar GCIB(在表1中列為GCIB製程條件「A」)的蝕刻速率所正規化。
在表1中,每一GCIB製程條件提供GCIB組成、射束加速電位(kV)、及與射束能量分布函數之修改相關的P單元值。關於GCIB組成,註記「5%NF3/N2」代表NF3在N2中的相對量(mol/mol%)。至於P單元值,如上所述,P單元值係與引入加壓區以造成GCIB及背景氣體間之間的碰撞、而因此使射束能量分布函數變寬的背景氣體之流率(單位為sccm,每分鐘標準毫升)有關。舉例來說,GCIB穿越其中之壓力單元內的壓力係藉由將背景氣體以40sccm的流率(P單元值為「40」)(或壓力-距離積分為約0.005torr-cm)引入壓力單元而升高。
如圖4A中所示,針對廣泛範圍的GCIB製程條件判定了二氧化矽(SiO2)的蝕刻速率。當GCIB僅含有Ar(如在GCIB製程條件「A」中)時,蝕刻速率係受純物理性要素(例如濺鍍)所驅使。然而,圖4A及表1顯示可選擇GCIB組成以對蝕刻製程提供化學性要素,並增加蝕刻速率。
如圖4B中所示,長條圖記錄做為表1中GCIB製程條件之函數的二氧化矽(SiO2)及光阻之間的蝕刻選擇性。該蝕刻選擇性將二氧化矽(SiO2)之蝕刻速率關聯至光阻(P.R.)之蝕刻速率(亦即,E/R SiO2/E/R P.R.)。檢視圖4B指出以CHF3為基礎的GCIB組成及以Cl2為基礎的GCIB組成提供超過一的蝕刻選擇性。
圖4C係做為GCIB製程條件及P單元值的函數之二氧化矽(SiO2)及光阻(P.R.)的蝕刻速率之數據圖。在表2中提供用於三(3)GCIB蝕刻製程的GCIB製程條件。在表2中,各GCIB製程條件提供GCIB組成、射束加速電位(kV)、及每一GCIB組成中各化學成分的流率(sccm)。如由圖4C清楚可知,當P單元值增加 時,使用該三GCIB製程條件之任一者的情況下之二氧化矽及光阻兩者的蝕刻速率皆降低。
如圖4D中所示,長條圖記錄做為表2中GCIB製程條件之函數的二氧化矽(SiO2)及光阻之間的蝕刻選擇性。此蝕刻選擇性將二氧化矽(SiO2)之蝕刻速率關聯至光阻(P.R.)之蝕刻速率(亦即,E/R SiO2/E/R P.R.)。檢視圖4D指出以下者:(1)SiO2及P.R.之間的蝕刻選擇性隨著增加之P單元值增加;(2)SiO2及P.R.之間的蝕刻選擇性可隨著在鹵代甲烷組成中添加氧而稍微增加,特別是在較高的P單元值下;及(3)以CHF3為基礎的GCIB組成比以Cl2為基礎的GCIB組成提供更高的SiO2及P.R.之間的蝕刻選擇性。
如圖4E中所示,二氧化矽(SiO2)中的蝕刻表面之表面粗糙度的數據圖係做為表2中的GCIB製程條件、及P單元值的函數加以描點繪製。表面粗糙度(Ra,以埃(Å)為單位而測量)代表平均粗糙度。粗糙度的程度可為界面及/或表面不均勻性的衡量。舉例來說,可將粗糙度的程度(像是表面粗糙度)以數學方式描述成最大粗糙度(Rmax)、平均粗糙度(Pa)(如圖4E中所示)、或方均根(rms)粗糙度(Rq)。檢視圖4E指出:(1)SiO2表面的平均粗糙度隨著增加的P單元值而降低;及(2)以CHF3為基礎的GCIB組成比以Cl2為基礎的GCIB組成在SiO2上提供稍微更高的平均粗糙度。
如圖4F中所示,長條圖記錄做為表3中GCIB製程條件之函數的二氧化矽(SiO2)之蝕刻速率與二氧化矽(SiO2)及光阻之間的蝕刻選擇性。該蝕刻選擇性將二氧化矽(SiO2)之蝕刻速率關聯到光阻(P.R.)之蝕刻速率(亦即,E/R SiO2/E/R P.R.)。表3中的三(3)GCIB製程條件之GCIB組成與表2中的相同;然而,一些GCIB製程條件係經調整以達成(大小約3埃或更小之)相對低的表面粗糙度。
表3提供了射束加速電位、P單元值、GCIB組成中之每一加壓氣體的流率、及所致之蝕刻選擇性及平均粗糙度。圖4F顯示對應的相對之蝕刻速率及蝕刻選擇性。顯然,以CHF3為基礎的GCIB組成達成相對低的表面粗糙度,且具有相對高的蝕刻選擇性。
圖4G係做為20%CHF3/He之GCIB組成之流率的函數之光阻(P.R.)、二氧化矽(SiO2)、及氮化矽(SiN)相對於多晶矽(Si)的蝕刻選擇性之長條圖。GCIB製程條件更包含60kV的射束加速電位及0之P單元值。當流率由350sccm增加至550sccm時,P.R.、SiO2、及SiN相對於Si的蝕刻選擇性從大於一的值衰減至小於一的值。
圖4H係做為10%CHF3He之GCIB組成之GCIB製程條件的函數之二氧化矽(SiO2)及多晶矽(Si)之間的蝕刻選擇性之長條圖。如圖4H中所示P單元值上的增加使SiO2及Si之間的蝕刻選擇性增加,而流率上的增加使SiO2及Si之間的蝕刻選擇性降低。
在表4中提供若干GCIB製程條件、及所致之(SiO2及Si之間的)蝕刻選擇性、及平均粗糙度。藉由調整不同GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性從約1的值變化至約12的值,同時達成範圍從約1Å到約4Å的平均粗糙度。
圖41係做為添加至10%CHF3/O2之GCIB組成之He流率的函數之SiO2的蝕刻速率、多晶矽(Si)的蝕刻速率、與SiO2及Si之間的蝕刻選擇性之數據圖。在表4(見第6列)中提供有關蝕刻選擇性之峰值(約12.2)的GCIB製程條件。在改變He流率時,GCIB製程條件中的其餘參數係保持固定。
圖4J係做為10%CClF3/He之GCIB組成之P單元值的函數之光阻(P.R.)、二氧化矽(SiO2)、及氮化矽(SiN)相對於多晶矽(Si)的蝕刻選擇性之長條圖。該GCIB製程條件更包含60kV的射束加速電位及450sccm的流率。隨著P單元值從0增加到40,SiO2及SiN相對於Si的蝕刻選擇性增加,而P.R.相對於Si的蝕刻選擇性降低。
圖4K係做為10%CClF3/He之GCIB組成之射束加速電位的函數之光阻(P.R.)、二氧化矽(SiO2)、及氮化矽(SiN)相對於多晶矽(Si)的蝕刻選擇性之長條圖。GCIB製程條件更包含0之P單元值及450sccm之流率。隨著射束加速電位由60kV降低至10kV,P.R.、SiO2、及SiN相對於Si的蝕刻選擇性降低。
在表5中提供若干GCIB製程條件、及所致之(Si及SiO2之間的)蝕刻選擇性及Si中的平均粗糙度。每一GCIB製程條件皆敘述在He中含有10%CBrF3的GCIB組成。在一些情況中,N2係添加至GCIB。藉由調整不同GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性從約0.3的值變化至約2.5的值,同時達成範圍從約3Å至約30Å的平均粗糙度。舉例來說,添 加N2、結合增加的射束加速電位、增加的P單元值、及降低的蝕刻化合物流率會產生最小的平均粗糙度。
在表6中提供若干GCIB製程條件、及所致之(Si及SiO2之間的)蝕刻選擇性及Si中的平均粗糙度。每一GCIB製程條件敘述在He中含有20%CF4的GCIB組成。藉由調整不同GCIB製程條件(包含GCIB組成、射束加速電位、P單 元值、及流率),可使蝕刻選擇性從約0.32的值變化至約0.54的值,同時達成範圍從約2Å至約19Å的平均粗糙度。
在表7中提供若干GCIB製程條件、及所致之(Si及SiN之間的)蝕刻選擇性、及Si中的平均粗糙度。每一GCIB製程條件敘述在N2中含有20%NF3的GCIB組成。藉由調整不同GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性從約1的值變化至約4的值,同時達成範圍從約2Å至約60Å的平均粗糙度。在犧性平均粗糙度的情況下,可達成高蝕刻速率及高蝕刻選擇性。再者,Si及SiN之間的蝕刻選擇性看起來與p摻雜Si及SiN之間的蝕刻選擇性相似。
在表8中提供若干GCIB製程條件、及所致之(Si及SiN之間的)蝕刻選擇性及Si中的平均粗糙度。每一GCIB製程條件敘述在N2中含有2%-6%Cl2的GCIB組成。在一些情況中,He、Ar、或N2係添加至GCIB。藉由調整不同GCIB 製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性從小於一變化至約11,同時達成範圍從約12Å至約105Å的平均粗糙度。
在表9中,提供若干GCIB製程條件、及所致之(Si及SiN之間的)蝕刻選擇性及Si中的平均粗糙度。每一GCIB製程條件敘述在He中含有4%-6%Cl2的GCIB組成。藉由調整不同GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性從約1.4的值變化至約6的值,同時達成範圍從約5Å至約40Å的平均粗糙度。使用He作為Cl2的載體看來比使用N2作為Cl2的載體能產生更低的平均組糙度。
在表10中提供若干GCIB製程條件、及所致之(Si及SiN之間的)蝕刻選擇性及Si中的平均粗糙度。每一GCIB製程條件敘述在He中含有35%HCl的GCIB組成。藉由調整不同GCIB製程條件(包含GCIB組成、射束加速電位、P單元值、及流率),可使蝕刻選擇性從約2的值變化至約7的值,同時達成範圍從約15Å至約25Å的平均粗糙度。
在圖4L中,以圖表方式繪示有關在基板上蝕刻材料的示範數據。圖4L為針對三(3)GCIB蝕刻製程之若干材料的蝕刻速率之長條圖,該等材料包含NiFe、Cu、CoFe、Al、Al2O3、Ru、W、Mo、TaN、Ta、AlN、SiO2、SiN、Si、SiC、光阻(P.R.)、及SiCOH。該等GCIB製程包含:(A)Ar;(B)5%NF3/N2;及(C)4%Cl2/He。在表11中提供用於該三(3)GCIB蝕刻製程之GCIB製程條件。
在表11中,每一GCIB製程條件提供GCIB組成、射束加速電位(kV)、與射束能量分布函數的修改相關之P單元值、及GCIB組成的流率。
如圖4L中所示,與以氟為基礎的GCIB化學相反,當使用以氯為基礎的GCIB化學時,若干含金屬材料(像是CoFe、NiFe、及Al)的蝕刻速率傾向於增加。又,當GCIB僅含有Ar(如在GCIB製程條件「A」中)時,蝕刻速率係受純物理性要素(例如濺鍍)所驅使。然而,圖4L及表11顯示可選擇GCIB組成以對蝕刻製程提供化學性要素、及蝕刻速率上的增加。
現參照圖5,根據實施例顯示如上述之用以處理基板的GCIB處理系統100。GCIB處理系統100包含真空容室102、待處理基板152係固定於其上的基板固持器150、及真空泵抽系統170A、170B、及170C。基板152可為半導體基板、晶圓、平面顯示器(FPD)、液晶顯示器(LCD)、或任何其他工件。GCIB處理系統100係用以產生供處理基板152用的GCIB。
仍參照圖5中的GCIB處理系統100,真空容室102包含連通的三腔室一亦即,來源腔室104、游離/加速腔室106、及處理腔室108一以提供減壓的封閉體。該三腔室係分別藉由真空泵抽系統170A、170B、及170C排空至適當的操作壓力。在連通的三腔室104、106、108中,可在第一腔室(來源腔室104)中形成氣體團簇射束,而可在該氣體團簇射束係於其中被游離及加速的第二腔室(游離/加速腔室106)中形成GCIB。接著,在第三腔室(處理腔室108)中,可利用加速的GCIB處理基板152。
如圖5中所示,GCIB處理系統100可包含用以將一或更多氣體或氣體混合物引入真空容室102的一或更多氣體來源。舉例來說,儲存在第一氣體來源111中的第一氣體組成係在壓力下穿過第一氣體控制閥113A而允許進入到一或多個氣體計量閥113。此外,舉例來說,儲存在第二氣體來源112中的第二氣體組成在壓力下穿過第二氣體控制閥113B而允許進入到一或多個氣體計量閥113。再者,舉例來說,第一氣體組成或第二氣體組成或該兩者可包含可凝(condensable)惰性氣體、載送氣體或稀釋氣體。舉例來說,惰性氣體、載送氣體或稀釋氣體可包含稀有氣體,亦即,He、Ne、Ar、Kr、Xe、或Rn。
再者,可單獨或結合彼此地利用第一氣體來源111及第二氣體來源112以產生游離團簇。材料組成可包含複數元素(希望其與材料層反應或希望將其引入材料層)之主要原子或分子物種。
包含第一氣體組成或第二氣體組成或該兩者的高壓、可凝氣體係穿過氣體供應管114被引入滯止腔室116,並透過適當成形之噴嘴110加以噴射至實質上較低壓力的真空中。由於該高壓、可凝氣體從滯止腔室116到較低壓區域之來源腔室104的膨脹所致,氣體速度加速至超音速,而氣體團簇射束118自噴嘴110射出。
起因於靜焓(static enthalpy)被交換成動能(其係由噴流中的膨脹所致)之噴流的固有冷卻造成氣體噴流之一部分凝結並形成具有複數團簇之氣體團簇射束118,每一團簇係由數個至數千個弱束縛(weakly bound)的原子或分子所組成。定位在來源腔室104及游離/加速腔室106之間、噴嘴110之出口下游的氣體分離器120將氣體團簇射束118之周邊的氣體分子(其可能尚未凝結成團簇)與在氣體團簇射束118之核心的氣體分子(其可能已形成團簇)部分地分離。除了其它原因之外,如此對於氣體團簇射束118之一部分的選擇可在下游區域(例如游離器122、及處理腔室108)中導致壓力上的降低,較高壓力在該等下游區域中可能有害。再者,氣體分離器120為進入游離/加速腔室106之氣體團簇射束定義初始尺寸。
GCIB處理系統100亦可包含具有一或更多分離器開口的複數噴嘴。關於多重氣體團簇離子束系統之設計的額外細節係提供於2009年4月23日所提申、題為「Multiple Nozzle Gas Cluster Ion Beam System」的美國專利公開案第2010/0193701A1號;及2010年3月26日所提申、題為「Multiple Nozzle Gas Cluster Ion Beam Processing System and Method of Operating」的美國專利公開案第2010/0193472A1號中,其內容係在此整體併入做為參考。
在氣體團簇射束118已於來源腔室104中形成後,氣體團簇射束118中的組分氣體團簇係藉由游離器122加以游離而形成GCIB 128。游離器122可包含自一或更多燈絲124產生電子的電子撞擊游離器,電子受加速及引導而與游離/加速腔室106內部之氣體團簇射束118中的氣體團簇碰撞。在與氣體團簇碰撞撞擊之後,具足夠能量的電子隨即將電子從氣體團簇中的分子逐出而產生游離分子。氣體團簇的游離可導致一群通常具淨正電荷之帶電氣體團簇離子。
如圖5中所示,射束電子裝置130係用以游離、抽取、加速、及聚焦GCIB 128。射束電子裝置130包含提供電壓VF以加熱游離器燈絲124的燈絲電源136。
此外,射束電子裝置130包含在游離/加速腔室106中的一組適當加以偏壓、從游離器122抽取團簇離子的高電壓電極126。高電壓電極126接著將所抽取之團簇離子加速至期望的能量、並使該等團簇離子聚焦以定義GCIB 128。GCIB 128中的團簇離子之動能通常在從約1000電子伏特(1keV)至數十keV的範圍內。舉例來說,可將GCIB 128加速至1到100keV。
如圖5中所示,射束電子裝置130更包含陽極電源134,陽極電源134對游離器122之陽極提供電壓VA,以加速從游離器燈絲124所發射之電子並使該等電子轟擊氣體團簇射束118中的氣體團簇,其產生團簇離子。
此外,如圖5中所示,射束電子裝置130包含抽取電源138,抽取電源138提供電壓VEE而將高電壓電極126之至少一者加偏壓,俾從游離器122的 游離區域抽取離子並形成GCIB 128。舉例來說,抽取電源138對高電壓電極126之第一電極提供小於或等於游離器122之陽極電壓的電壓。
再者,射束電子裝置130可包含加速器電源140,加速器電源140提供電壓VACC而將高電壓電極126之一者相對於游離器122加偏壓,俾以造成等於約VACC電子伏特(eV)之總GCIB加速能量。舉例來說,加速器電源140可對高電壓電極126之第二電極提供小於或等於游離器122之陽極電壓及第一電極之抽取電壓的電壓。
又再者,射束電子裝置130可包含透鏡電源142、144,可設置透鏡電源142、144以利用電位(例如VL1及VL2)將某些高電壓電極126加偏壓以聚焦GCIB 128。舉例來說,透鏡電源142可對高電壓電極126之第三電極提供小於或等於游離器122之陽極電壓、第一電極之抽取電壓、及第二電極之加速器電壓的電壓;而透鏡電源144可對高電壓電極126之第四電極提供小於或等於游離器122之陽極電壓、第一電極之抽取電壓、第二電極之加速器電壓、及第三電極之第一透鏡電壓的電壓。
注意,在游離及抽取方案二者方面可使用許多變化形。儘管在此所述的方案係有用於指導之目的,然而另一抽取方案涉及將游離器及(複數)抽取電極(或抽取光學元件)之第一元件設定在VACC。此通常要求將用於游離器電源之控制電壓加以光纖程式化,但是建立較簡單的整體光學元件串(optics train)。無論游離器及抽取透鏡之加偏壓的細節如何,在此所述之本發明皆為有用。
可利用在游離/加速腔室106中、高電壓電極126下游之射束過濾器146來去除來自GCIB 128的單體、或單體及輕團簇離子,以定義進入處理腔室108之經過濾的製程GCIB 128A。在一實施例中,射束過濾器146實質上減少具有100 或更少之原子或分子或該兩者的團簇之數量。射束過濾器可包含磁體組件,該磁體組件用以在GCIB 128各處施加磁場以輔助過濾處理。
仍參照圖5,射束閘148係設置於游離/加速腔室106中的GCIB 128之路徑上。射束閘148具有開啟狀態及關閉狀態,在開啟狀態下,允許GCIB 128從游離/加速腔室106通往處理腔室108而定義製程GCIB 128A;在關閉狀態下,阻擋GCIB 128進入處理腔室108。控制電纜將控制訊號由控制系統190傳遞至射束閘148。該控制訊號可控制地切換射束閘148於開啟狀態或關閉狀態之間。
基板152(其可為晶圓或半導體晶圓、平面顯示器(FPD)、液晶顯示器(LCD)、或待由GCIB處理所處理的其它基板)係設置在處理腔室108中的製程GCIB 128A之路徑上。由於大部分的應用考慮到在具有空間上均勻之結果的情況下處理大型基板,因此可能希望有掃描系統以橫跨大面積均勻地掃描製程GCIB 128A而產生空間上均一的結果。
X掃描致動器160在X掃描運動的方向(進出紙面)上提供基板固持器150之線性運動。Y掃描致動器162在Y掃描運動164(其通常正交於X掃描運動)的方向上提供基板固持器150之線性運動。X掃描運動及Y掃描運動的組合以類似逐行掃描(raster)的掃描運動將被基板固持器150所固持之基板152位移穿過製程GCIB 128A,俾以針對基板152的處理而藉製程GCIB 128A造成基板152之表面的均勻(或其他經設定的)照射。
基板固持器150以相對於製程GCIB 128A之軸的一角度而設置基板152,俾使製程GCIB 128A相對於基板152之表面具有射束入射角166。射束入射角166可為90度或一些其它角度,但通常為90度或接近90度。在Y掃描期間,基板152及基板固持器150分別從所示之位置移動到藉標號152A及150A所指示 之交替位置「A」。注意,在移動於該二位置之間時,基板152係掃描穿過製程GCIB 128A,並且在兩極端位置係完全移動到製程GCIB 128A的路徑以外(過掃描)。儘管在圖5中並未明確顯示,然而在(通常)正交的X掃描運動方向(進出紙面)上執行類似的掃描及過掃描。
可將射束電流感測器180設置在製程GCIB 128A的路徑上、基板固持件150之後,以便在基板固持件150係掃描到製程GCIB 128A的路徑以外時攔截製程GCIB 128A的樣本。射束電流感測器180通常為法拉第杯或類似者(其除了射束進入開口之外係封閉的),且通常係以電絕緣底座182固定於真空容室102之壁。
如圖5中所示,控制系統190透過電纜連接至X掃描致動器160及Y掃描致動器162並控制X掃描致動器160及Y掃描致動器162,俾以將基板152設置到製程GCIB 128A內或外、並相對於製程GCIB 128A均勻地掃描基板152而藉由製程GCIB 128A達成基板152的期望處理。控制系統190經由電纜接收由射束電流感測器180所收集的採樣射束電流,並藉此監測GCIB、及藉由在已輸送預定劑量時將基板152從製程GCIB 128A移除以控制由基板152接收的GCIB劑量。
在顯示於圖6的實施例中,GCIB處理系統100'可相似於圖5之實施例並更包含X-Y定位平台253,X-Y定位平台253係可操作以固持基板252並在二軸上移動基板252而相對於製程GCIB 128A有效地掃描基板252。舉例來說,X運動可包含進出紙面的運動,而Y運動可包含沿著方向264的運動。
製程GCIB 128A係在基板252之表面上的投射撞擊區域286、且以相對於基板252的表面之射束入射角266撞擊基板252。藉著X-Y運動,X-Y定位平台253可將基板252之表面的各部分定位於製程GCIB 128A的路徑中,俾使該表面的每一區域均可與投射撞擊區域286重合以供由製程GCIB 128A加以處理。 X-Y控制器262透過電纜提供電訊號至X-Y定位平台253以控制X軸方向及Y軸方向之每一者上的位置及速度。X-Y控制器262透過電纜接收來自控制系統190的控制訊號,並可被控制系統190操作。X-Y定位平台253根據習知的X-Y平台定位技術藉由連續運動或步進運動而移動,俾以將基板252的不同區域定位在投射撞擊區域286內。在一實施例中,X-Y定位平台253可被控制系統190可程式化地操作成以可程式化速度將基板252之任何部分掃描穿過投射撞擊區域286以供藉由製程GCIB 128A進行GCIB處理。
定位平台253的基板固持表面254為導電性、且係連接至由控制系統190所操作的劑量測定處理器。定位平台253的電絕緣層255將基板252及基板固持表面254與定位平台253之基部260隔離。由撞擊之製程GCIB 128A在基板252中所誘發的電荷係傳導穿過基板252及基板固持表面254,而一訊號係透過定位平台253耦合至控制系統190以供劑量測定量測。劑量測定量測具有用於將GCIB電流積分的積分手段以判定GCIB處理劑量。在若干情況下,可使用電子之目標中和來源(未顯示)(有時稱為電子潮)以中和製程GCIB 128A。在如此情況中,儘管新增了電荷來源,仍可使用法拉第杯(未顯示,但其可類似於圖5中的射束電流感測器180)以確保準確的劑量測定,理由是典型的法拉第杯僅允許高能量正離子進入及被測量。
在操作中,控制系統190發出將射束閘148開啟的訊號以利用製程GCIB 128A照射基板252。控制系統190監測基板252所收集的GCIB電流之測量結果,以計算基板252所接收的累積劑量。當基板252所接收的劑量達到預定劑量時,控制系統190關閉射束閘148,而基板252的處理即完成。依據基板252之特 定區域所接收的GCIB劑量之測量結果,控制系統190可調整掃描速度以針對處理基板252之不同區域而達成適當的射束駐留時間。
或者是,製程GCIB 128A可於恆定速度、以固定圖案掃描基板252之表面各處;然而,GCIB強度係加以調變(可能被稱為Z軸調變)以輸送特意非均勻之劑量至樣本。GCIB強度可在GCIB處理系統100'中藉由各種方法之任一者來調變,包含:變化來自GCIB來源供應器之氣體流;藉由變化燈絲電壓VF或變化陽極電壓VA而調變游離器122;藉由改變透鏡電壓VL1及/或VL2而調變透鏡焦距;或以可變之射束阻擋件、可調整之擋門、或可變之開孔而機械式地阻擋GCIB之一部分。調變之變化可為連續的類比變化或可為時間調變之切換或閘控。
處理腔室108可更包含原位量測系統。舉例來說,該原位量測系統可包含具有光發送器280及光接收器282之光學診斷系統,光發送器280及光接收器282分別用以利用入射的光訊號284照射基板252及接收來自基板252之散射的光訊號288。光學診斷系統包含光學窗以允許入射的光訊號284及散射的光訊號288通行進出處理腔室108。再者,光發送器280及光接收器282可分別包含發送及接收之光學裝置。光發送器280接收並響應來自控制系統190之控制電訊號。光接收器282將測量訊號送回至控制系統190。
原位量測系統可包含任何用以監測GCIB處理進展之儀器。根據一實施例,原位量測系統可構成光學散射儀系統。該散射儀系統可包含併入射束輪廓橢圓偏振技術(橢圓偏光計)及射束輪廓反射技術(反射計)的散射計,其在商業上可自Therma-Wave,Inc.(1250 Reliance Way,Fremont,CA 94539)或Nanometrics,Inc.(1550 Buckeye Drive,Milpitas,CA 95035)取得。
舉例來說,原位量測系統可包含用以測量由在GCIB處理系統100'中執行處理製程所致的製程性能數據之整合式光學數位輪廓儀(iODP)散射儀模組。量測系統可例如測量或監測由處理製程所致之量測數據。量測數據可例如被利用以判定描述處理製程之製程性能數據,像是製程速率、相對製程速率、特徵部輪廓角度、臨界尺寸、特徵部厚度或深度、特徵部形狀...等。舉例來說,在用於將材料方向性地沉積在基板上的製程中,製程性能數據可包含臨界尺寸(CD)(像是特徵部(亦即貫孔、線條...等)中的頂部、中間部或底部CD)、特徵部深度、材料厚度、側壁角度、側壁形狀、沉積速率、相對沉積速率、其任何參數之空間分布、用以描述其任何空間分布之均勻性的參數...等。經由來自控制系統190之控制訊號而操作X-Y定位平台253,原位量測系統可將基板252的一或更多特徵繪製成圖。
在顯示於圖7之實施例中,GCIB處理系統100"可相似於圖5之實施例並更包含定位在例如游離/加速腔室106之出口區域或其附近的壓力單元腔室350。壓力單元腔室350包含惰性氣體來源352及壓力感測器354,惰性氣體來源352係用以供應背景氣體至壓力單元腔室350以提高壓力單元腔室350中的壓力,壓力感測器354係用以測量壓力單元腔室350中之提高的壓力。
壓力單元腔室350可用以修改GCIB 128之射束能量分布而產生經修改之製程GCIB 128A'。此射束能量分布修改係藉以下者達成:沿著穿過壓力單元腔室350內的加壓區之GCIB路徑引導GCIB 128,使得GCIB之至少一部分穿越該加壓區。射束能量分布的修改程度可藉由沿著GCIB路徑之至少一部分的壓力-距離積分加以特徵化,其中距離(或壓力單元腔室350的長度)係藉由路徑長度(d)表示。當壓力-距離積分值(藉著增加壓力及/或路徑長度(d)而)增加時,射束能 量分布變寬且尖峰能量降低。當壓力-距離積分值(藉著減少壓力及/或路徑長度(d)而)減低時,射束能量分布變窄且尖峰能量增加。壓力單元之設計的進一步細節可由題為「Method and apparatus for improved processing with a gas-cluster ion beam」之美國專利第7,060,989號來決定,其內容係在此整體併入做為參考。
控制系統190包含微處理器、記憶體、及數位I/O埠,該數位I/O埠能夠產生與GCIB處理系統100(或100'、100")通信並對其啟動輸入、以及足以監測來自GCIB處理系統100(或100'、100")之輸出的控制電壓。此外,控制系統190可耦接至以下者並與其交換資訊:真空泵抽系統170A、170B、及170C、第一氣體來源111、第二氣體來源112、第一氣體控制閥113A、第二氣體控制閥113B、射束電子裝置130、射束過濾器146、射束閘148、X掃描致動器160、Y掃描致動器162、及射束電流感測器180。舉例來說,可根據一製程配方而利用儲存在記憶體中的程式來啟動至前述GCIB處理系統100之元件的輸入,俾以在基板152上執行GCIB製程。
然而,控制系統190可被實施成一般用途的電腦系統,該電腦系統響應於執行記憶體中所包含之一或更多指令之一或更多序列的處理器而執行本發明之基於微處理器的處理步驟之一部分或全部。如此指令可從另一電腦可讀媒體(像是硬碟或可卸除式媒體驅動器)讀入控制器記憶體。亦可運用呈多處理配置之一或更多處理器做為控制器微處理器,以執行主記憶體中所包含的指令序列。在替代性實施例中,可使用硬佈線電路以取代軟體指令、或與軟體指令結合。因此,實施例係不限於硬體電路及軟體之任何特定組合。
可使用控制系統190以配置任何數量之處理元件(如上述),且控制系統190可收集、提供、處理、儲存、及顯示來自處理元件的數據。控制系統190 可包含若干應用程式、以及若干控制器,以控制處理元件之一或更多者。舉例來說,控制系統190可包含圖形使用者界面(GUI)構件(未顯示),該GUI構件可提供讓使用者得以監測及/或控制一或更多處理元件的界面。
控制系統190可相對於GCIB處理系統100(或100'、100")而本地設置,或其可相對於GCIB處理系統100(或100'、100")而遠端設置。舉例來說,控制系統190可使用直接連線、內部網路、及/或網際網路與GCIB處理系統100交換數據。控制系統190可耦接至在例如客戶端(亦即,元件生產者...等)的內部網路;或其可耦接至在例如供應商端(亦即,設備製造者)的內部網路。或者是或除此之外,控制系統190可耦接至網際網路。再者,另一電腦(亦即,控制器、伺服器...等)可存取控制系統190,俾以經由直接連線、內部網路、及/或網際網路來交換數據。
基板152(或252)可經由夾持系統(未顯示)加以固定至基板固持器150(或基板固持器250),該夾持系統係像是機械夾持系統或電夾持系統(例如靜電夾持系統)。再者,基板固持器150(或250)可包含用以調整及/或控制基板固持器150(或250)及基板152(或252)之溫度的加熱系統(未顯示)或冷卻系統(未顯示)。
真空泵抽系統170A、170B、及170C可包含具備高達約每秒5000公升(及更大)的泵抽速度之渦輪分子真空泵(TMP)、及用以調節腔室壓力的閘閥。在習知的真空處理裝置中,可運用每秒1000至3000公升的TMP。TMP對於低壓處理(通常小於約50mTorr)具有用處。儘管未顯示,然而可理解壓力單元腔室350亦可包含真空泵抽系統。再者,可將用以監測腔室壓力之裝置(未顯示)耦接至真空容室102或三真空腔室104、106、108之任一者。壓力測量裝置可為例如電容壓力計或游離真空計。
現在參照圖8,顯示用以使氣體團簇噴流(圖5、圖6、及圖7之氣體團簇射束118)游離的游離器(圖5、圖6、及圖7的122)之剖面300。剖面300係垂直於GCIB 128的軸。對於典型的氣體團簇尺寸(2000至15000個原子)而言,離開氣體分離器(圖5、圖6、及圖7之120)而進入游離器(圖5、圖6、及圖7之122)的團簇將以約130至1000電子伏特(eV)的動能行進。在此等低能量下,游離器122內之任何從空間電中性的偏離均將導致噴流之急速分散而伴隨射束電流的顯著損失。圖8顯示自我中和游離器。如同其他游離器,氣體團簇係藉由電子撞擊所游離。在此設計中,熱電子(由310表示七範例)係發射自複數線型熱離子燈絲302a、302b、及302c(通常是鎢),並藉著電子斥拒器電極306a、306b、及306c及射束形成電極304a、304b、及304c所提供之適當電場的作用所抽取及聚焦。熱電子310通過氣體團簇噴流及噴流軸,並接著撞擊對面的射束形成電極304b以產生低能量的二次電子(做為範例所示之312、314、及316)。
儘管(為了簡化起見)未顯示,但線型熱離子燈絲302b及302c亦產生熱電子,該等熱電子後續產生低能量的二次電子。所有二次電子藉由以下方法幫助確保游離化之團簇噴流保持空間電中性:提供可依維持空間電中性所需而被吸引至帶正電之游離化氣體團簇噴流中的低能量電子。射束形成電極304a、304b、及304c係相對於線型熱離子燈絲302a、302b、及302c而受正偏壓,而電子斥拒器電極306a、306b、及306c係相對於線型熱離子燈絲302a、302b、及302c而受負偏壓。絕緣體308a、308b、308c、308d、308e、及308f支撐電極304a、304b、304c、306a、306b、及306c並將其電性絕緣。舉例來說,此自我中和游離器係有效且達成超過1000微安培之氬GCIB。
或者是,游離器可使用從電漿抽取電子來將團簇游離化。此等游離器的幾何形狀係與上述之三燈絲游離器相當不同,但運作原理及游離器的控制係非常類似。現在參照圖9,顯示用以將氣體團簇噴流(圖5、圖6、及圖7的氣體團簇射束118)游離化之游離器(圖5、圖6、及圖7之122)的剖面400。剖面400係垂直於GCIB 128之軸。對於典型的氣體團簇尺寸(2000至15000個原子)而言,離開氣體分離器(圖5、圖6、及圖7之120)而進入游離器(圖5、圖6、及圖7之122)的團簇將以約130至1000電子伏特(eV)的動能行進。在此等低能量下,游離器122內之任何從空間電中性的偏離均將導致噴流之急速分散而伴隨射束電流的顯著損失。圖9顯示自我中和游離器。如同其他游離器,氣體團簇係藉由電子撞擊所游離。
該游離器包含藉支撐板(未顯示)所支撐及電連接之細桿陽極電極陣列452。細桿陽極電極陣列452係與氣體團簇射束(例如圖5、圖6、及圖7之氣體團簇射束118)的軸實質上同心。游離器亦包含藉另一支撐板(未顯示)所支撐及電連接之細桿電子斥拒器電極陣列458。細桿電子斥拒器電極陣列458係與氣體團簇射束(例如圖5、圖6、及圖7之氣體團簇射束118)的軸實質上同心。此游離器更包含藉又另一支撐板(未顯示)所支撐及電連接之細桿離子斥拒器電極陣列464。細桿離子斥拒器電極陣列464係與氣體團簇射束(例如圖5、圖6、及圖7之氣體團簇射束118)的軸實質上同心。
高能電子係從電漿電子來源470供應至射束區域444。電漿電子來源470包含電漿腔室472,在電漿腔室472內,電漿係形成於電漿區域442中。電漿電子來源470更包含熱離子燈絲476、氣體進入開孔426、及複數抽取開孔480。 熱離子燈絲476係藉由絕緣體477與電漿腔室472絕緣。做為範例,熱離子燈絲476可包含具有呈「尾辮(pigtail)」配置之一又二分之一圈的鎢燈絲。
氣體團簇游離器之剖面400包含具有複數開孔482之電子加速電極488。此外,剖面400包含具有複數開孔484之電子減速電極490。複數開孔482、複數開孔484、及複數抽取開孔480從電漿區域442至射束區域444係全部對齊。
電漿形成氣體(像是稀有氣體)係穿過氣體進入開孔426而進到電漿腔室472。絕緣的氣體進料管線422將加壓的電漿形成氣體提供至可遠端控制的氣體閥424,氣體閥424調節電漿形成氣體至電漿腔室472的進入。
燈絲電源408提供燈絲電壓(VF)以驅動電流穿過熱離子燈絲476而刺激熱電子發射。燈絲電源408可控制地在3至5V(伏特)提供約140至200A(安培)。電弧電源410可控制地提供電弧電壓(VA)以使電漿腔室472相對於熱離子燈絲476受正偏壓。電弧電源410通常係在固定電壓(通常約35V)下操作,並提供用以在電漿腔室472內將電子加速以形成電漿的的手段。燈絲電流係受控制以調節由電弧電源410所供應之電弧電流。電弧電源410能夠提供高達5A的電弧電流至電漿電弧。
電子減速電極490係藉由電子偏壓電源412而相對於電漿腔室472受正偏壓。電子偏壓電源412提供可在30至400V的範圍內可控制地加以調整的偏壓電壓(VB)。電子加速電極488係藉由電子抽取電源416而相對於電子減速電極490受正偏壓。電子抽取電源416提供在20至250V的範圍內可受控制之電子抽取電壓(VEE)。加速電源420供應加速電壓(VACC)以使細桿陽極電極陣列452及電子減速電極490相對於接地受正偏壓。VACC為針對由剖面400中所示之氣體團簇游離器所產生之氣體團簇離子的加速電位,且在1至100kV的範圍內係可控制且可調 整。電子斥拒器電源414提供電子斥拒器偏壓電壓(VER)以使細桿電子斥拒器電極陣列458相對於VACC受負偏壓。VER在50至100V的範圍內係可控制。離子斥拒器電源418提供離子斥拒器偏壓電壓(VIR)以使細桿離子斥拒器電極陣列464相對於VACC受正偏壓。VIR在50至150V的範圍內係可控制。
光纖控制器430接收電纜434上的電控制訊號並將其轉換成控制連結432上的光學訊號,俾以使用來自接地之控制系統的訊號控制在高電位運作的構件。光纖控制連結432將控制訊號傳送至可遠端控制的氣體閥424、燈絲電源408、電弧電源410、電子偏壓電源412、電子斥拒器電源414、電子抽取電源416、及離子斥拒器電源418。
舉例來說,游離器的設計係類似於題為「Ionizer and method for gas-cluster ion-beam formation」之美國專利第7,173,252號中所描述的游離器,其內容係在此整體併入做為參考。
游離器(圖5、圖6、及圖7之122)可用以藉由改變GCIB 128之電荷狀態而修改GCIB 128的射束能量分布。舉例來說,電荷狀態可藉由調整在氣體團簇之電子碰撞誘發游離中所利用的電子之電子通量、電子能量、或電子能量分布加以修改。
在顯示於圖10之實施例中,GCIB處理系統1000可相似於圖5之實施例並更包含一或更多分子束噴嘴168,一或更多分子束噴嘴168係定位於例如處理腔室108中、且在游離/加速腔室106之出口區域或其附近。分子束噴嘴168可從氣體來源172接收高壓氣體。分子束噴嘴168可包含接收處於高壓之氣體的氣體輸入連結部、及讓氣體分子能以高速離開分子束噴嘴168的孔口(未顯示)。一般來說,氣體速度可能小於聲速。然而,在其它實施例中,氣體速度可能大 於聲速。氣體分子可以非常高的速度離開孔口,該非常高的速度可使分子形成投射至處理腔室108中、以狹窄的射束角度分佈函數(亦即,(複數)氣體分子之準直或實質上準直的軌跡)為特徵的分子束。更一般來說,當在此提及時,用語分子束可代表經引導的氣體或蒸氣洩流,該經引導的氣體或蒸氣洩流包含原子及/或分子組份,且取向成與GCIB(包含例如使用一或更多分離器而(實質上)加以準直之氣體或蒸氣噴流、或氣體或蒸氣射束)交叉。該高壓氣體可包含但不限於稀有氣體(例如Ar、Ne...等)、氮、氧化性氣體(例如O2、O3...等)、鹵素氣體、自燃性氣體(例如SiH4)。
在一實施例中,分子束可包含稀有氣體、氮、或其組合。在若干情況中,分子束可為相對惰性,因為該分子束之主要目的可能並非要與GCIB起化學反應。舉例來說,比起化學性,分子束及GCIB之間的交互作用在本質上可能更為物理性(例如原子的碰撞)。然而,不應推斷該交互作用係缺乏任何化學反應。但是,在此實施例中,物理交互作用至少可能比化學反應對GCIB或基板具有更高程度的影響。
然而,在另一實施例中,分子束可包含更具反應性的氣體,該等更具反應性的氣體可增加分子束及GCIB之間的化學交互作用。舉例來說,氧化性氣體(例如O2、O3)、鹵素氣體(例如Cl、F...等)、或自燃性氣體(例如SiH4)可搭配上述GCIB氣體之任一者而使用。在此情況中,分子束及GCIB之間的交互作用可包含與GCIB、基板、或其組合之物理交互作用及化學交互作用。化學反應可包含形成可能未提供至分子束噴嘴或通過分子束噴嘴的另一化學分子。該化學分子可用以改變GCIB及/或基板之特性。
分子束噴嘴可包含例如孔口、漸縮噴嘴、或細腰(converging-diverging)噴嘴。而且,分子束噴嘴可或可不包含噴嘴分離器。分子束(未顯示)形成可用以改變或修改GCIB 128A’之特性的局部之高壓區域。舉例來說,在一實施例中,分子束可與GCIB 128A’碰撞以透過GCIB 128A’及分子束兩者中之組份的碰撞而改變GCIB128A’的射束能量分佈、射束角度分佈、射束組成、或角度。在另一實施例中,可將分子束朝GCIB 128A’及基板152之交叉處引導。依此方式,一或更多分子束與GCIB 128A’在基板152之曝露表面或在該曝露表面上方的交叉可能影響GCIB 128A’及基板152之間的化學及/或物理交互作用。舉例來說,局部之高壓區域可形成在基板152的表面,該局部的高壓區域可改變離子束特性且/或亦影響可能因GCIB 128 A’而發生在基板152之表面的化學反應。在前述兩實施例中,GCIB 128A’之蝕刻特性可被修改,其可能衝擊在基板152之蝕刻速率或蝕刻輪廓。而且,儘管GCIB及一或更多分子束之間的交互作用係在GCIB蝕刻的背景下所描述,然而可將其用以執行任何類型的GCIB處理,包含但不限於以GCIB協助的清洗、沉積、成長、摻雜、化學或物理改質、緻密化、非晶化、平滑化...等。
圖10僅例示將分子束噴嘴168定位成朝向GCIB 128A’之一角度及在自基板152起之一偏移距離的一實施例。該角度可代表分子束及GCIB 128A’之間的相對位置。該角度可從GCIB 128A’加以測量到分子束或反之亦然。該偏移距離可為分子束與GCIB 128A’的交叉點及基板152之表面之間的距離。在其它實施例中,可將分子束噴嘴168定位成任何可讓分子束以介於實質上90度及接近0度之間的角度而與離子束交叉的取向。該角度可基於在基板152之表面的理想製程條件而變化。同樣地,該偏移距離亦可隨製程變化。
除了以上於圖5中所述的能力之外,圖10之控制系統190亦可控制氣體來源172、及分子氣體噴嘴168的定位。然而,控制系統190可被實施成一般用途的電腦系統,該電腦系統響應於執行記憶體中所包含之一或更多指令之一或更多序列的處理器而執行本發明之基於微處理器的處理步驟之一部分或全部。如此指令可從另一電腦可讀媒體(像是硬碟或可卸除式媒體驅動器)讀入控制器記憶體。亦可運用呈多處理配置之一或更多處理器做為控制器微處理器,以執行主記憶體中所包含的指令序列。在替代性實施例中,可使用硬佈線電路以取代軟體指令、或與軟體指令結合。因此,實施例係不限於硬體電路及軟體之任何特定組合。
圖11A-11C包含描述分子束與GCIB 128A’交叉的位置(例如圖11A)及分子氣體噴嘴168相對於GCIB 128A’及基板152的相對定位(例如圖11B & 11C)的示意圖。如圖11A所示,分子束及GCIB 128A’之間的入射角170可介於0及90度之間。入射角可從GCIB 128A’加以測量到分子束為止。分子束係未顯示於圖11A。
在圖11B中,分子束174係顯示成以入射角178與GCIB 128A’交叉在交叉點176。交叉點176可位在自基板152起之偏移距離181。如以上指出,入射角可在0及90度之間變化。同樣地,偏移距離亦可沿著GCIB 128A’的長度變化。分子束噴嘴168亦可變化射束偏移距離182,射束偏移距離182代表GCIB 128A’及分子束噴嘴168之間的距離。顯示於圖11A之此等距離及位置變數可加以變化以控制或調整可發生在基板152之蝕刻或化學處理。如圖11C所示,入射角184及射束偏移距離186可變化成使得分子束174直接與基板152、或在非常接近基板152之表面處交互作用。
圖12A-12H為做為顯示於圖10之GCIB處理系統1000的一或更多實施例之一部分、可與分子束174交叉之離子束(例如GCIB 128A’)的說明圖。廣泛來說,一或更多分子束噴嘴168可被定位在處理腔室108內而將一或更多分子束174射入GCIB 128A’、基板152、或兩者。一或更多分子束噴嘴168的定位在二維或三維的配置內可為對稱或非對稱。圖12A-12H代表特定實施例且僅為了易於說明才限於二維。然而,可將分子束噴嘴168、188以三維配置加以配置成靠近基板152。
圖12A顯示包含對稱地繞著GCIB 128A’而定位的第一分子束噴嘴168及第二分子束噴嘴188之處理腔室108的配置。在此情況中,對稱性可包含來自第一射束噴嘴168及第二分子束噴嘴188之分子束的相似入射角192。第一分子束噴嘴168及第二分子束噴嘴188亦可具有相似的偏移距離181。在此實施例中,來自第一分子束噴嘴168及第二分子束噴嘴188的分子束可與GCIB 128A’交叉在實質上相同的位置。
圖12B顯示與圖12A中所示之實施例不同的實施例。第一分子束噴嘴168及第二分子束噴嘴188仍對稱地配置於處理腔室108內。然而,已將入射角194調整成使得分子束交叉在基板152之表面或基板152之表面附近。在另一實施例中,GCIB分子束製程可包含針對相同基板而從圖12A之實施例轉變至圖12B實施例(或任何其它實施例)、或反之亦然。然而,假如需要,圖12A-12H之實施例亦可獨立於彼此而實施。
圖12C顯示第一分子束噴嘴168及第二分子束噴嘴188之一非對稱配置。舉例來說,第一分子束噴嘴168可具有與GCIB 128A’之第一入射角,該第一入射角係不同於第二分子束噴嘴188之第二入射角194。依此方式,第一分子 束噴嘴168的交叉點及第二分子束噴嘴188的交叉點可能不同。在此所示實施例中,來自第一分子束噴嘴168的分子束與GCIB 128A’交叉於偏移距離181。而,來自第二分子束噴嘴188的分子束可與GCIB 128A’交叉在基板152之表面或基板152之表面附近。
圖12D顯示另一非對稱配置,其中第一分子束噴嘴168及第二分子束噴嘴188之每一者就其各自的分子束具有不同的入射角及不同的偏移距離。第一分子束可具有與第二分子束之第二入射角196不同的第一入射角192。在此情況中,GCIB 128A’與該二分子束的交叉可在不同位置。此係藉由該二分子束之不同偏移距離181、198所表明。如圖12D中所示,非對稱性可連結到不同的入射角192、196。然而,非對稱性可能不限於不同的入射角。在其它實施例中,第一分子束噴嘴168及第二分子束噴嘴188在鉛直或水平方式上的位置或配置可實現非對稱性。舉例來說,第一分子束及第二分子束可共同具有相同的入射角,但其可與GCIB 128A’在不同位置交叉。該等不同的交叉位置可至少部份地基於第一分子束噴嘴168及第二分子束噴嘴188在處理腔室108中的水平及/或鉛直定位。
圖12E顯示另一非對稱配置,其中第一分子束及第二分子束之每一者具有不同入射角,但是與GCIB 128A’交叉在實質上相似的位置。因此,該二分子束的交叉點共同具有相似的偏移距離181。然而,在此實施例中,第一分子束具有第一入射角202,而第二分子束具有與第一入射角202不同的第二入射角200。為了實現此實施例,將第一分子束噴嘴168及第二分子束噴嘴188的定位最佳化以產生圖12E中所示之非對稱實施例。此可包含但不限於將第一分子束噴 嘴168及第二分子束噴嘴188設置在自GCIB 128A’起之不同的水平及/或鉛直距離。
圖12F顯示另一非對稱配置,其中第一分子束及第二分子束之每一者具有不同的入射角,但是與GCIB 128A’交叉在實質上不同的位置。舉例來說,分子束交叉的差異係藉由自基板152起之第一偏移距離181及第二偏移距離204而顯示。此外,入射角200、202亦可如圖12F中所示地不同。
在圖12G中,顯示另一非對稱配置,其中第一分子束及第二分子束之每一者具有不同的入射角,但與GCIB 128A’交叉在實質上不同的位置。舉例來說,分子束交叉的差異係藉由偏移距離181、及第二分子束噴嘴188的交叉點係在基板152之表面或在基板152之表面附近而顯示。此外,入射角200、202亦可彼此不同。
圖12H顯示另一非對稱配置,其中第一分子束及第二分子束之每一者具有不同的入射角,但與GCIB 128A’交叉於實質上相似的位置或在基板152之表面附近。
在其它實施例中,一或更多分子束噴嘴(例如分子束噴嘴168)的相對位置亦可繞著GCIB 128A’在徑向上變化。舉例來說,徑向位置或角度(未顯示)可不同於圖12A-12H中所示的入射角。一或更多分子束噴嘴之間的徑向距離可在0及360度之間變化。在圖12A-12H中,第一分子束噴嘴168及第二分子束噴嘴188係顯示成繞著GCIB 128A’在徑向上彼此相距180度。然而,在其它實施例中,可將第一分子束噴嘴168及第二分子束噴嘴188繞著GCIB 128A’在徑向上移動得較靠近彼此。
在一雙分子束實施例中,可用以形成射束之來源氣體對於該二射束而言可為相似,或該等來源氣體可為不同。舉例來說,在一實施例中,分子束可包含相同的氣體或混合物氣體以形成可與GCIB交叉的分子束。高壓氣體可包含但不限於稀有氣體(例如Ar、Ne...等)、氮、氧化性氣體(例如O2、O3...等)、或鹵素氣體(例如含Cl氣體、含F氣體...等)。在另一實施例中,分子束可包含不同的來源氣體或氣體混合物。舉例來說,在一實施例中,第一分子束可包含Ar做為來源氣體,而第二分子束可包含氮做為來源氣體。
圖13為顯示供使用併入一或更多分子束噴嘴168之GCIB處理系統1000而在基板152上蝕刻或沉積的方法之流程圖。分子束噴嘴168可透過小型孔口而噴射呈狹窄分佈之氣體分子。顯示於圖13的方法僅為一實施例。其它方法可包含額外的步驟或可省略圖13中之步驟的一部分。在另一實施例中,圖13之方法的步驟可能以任何順序來執行,而未必要以圖13中所示之順序執行。
在方塊1302中,處理系統1000可將基板152設置在基板固持器150上。基板152可包含可藉由處理系統1000加以蝕刻之半導體材料或任何導電性材料。可允許基板固持器150移動基板而使該基板與由處理系統1000所產生的GCIB 128A’交叉。
處理系統1000可產生可用以在基板152上蝕刻或沉積膜之氣體團簇離子束(GCIB 128A’)。圖5-9提供可如何產生GCIB 128A’及將其提供至基板152的描述。
基板固持器150可將基板152掃描穿過GCIB 128A’,使得該GCIB 128A’可在預定位置及/或在預定時刻與基板152交叉。
在方塊1306中,使用位在處理系統1000之處理腔室108中的分子束噴嘴168而產生分子束174。如以上在圖10到12A-12H之描述中所指出,一或更多分子束174可用以影響GCIB 128A’及/或基板152的狀態以在基板152上蝕刻或沉積膜。在若干實施例中,分子束的產生可發生在將基板152掃描穿過GCIB 128A’之前。
在方塊1308中,分子束174可與GCIB 128A’交叉於一交叉位置,該交叉位置靠近基板152上之預定位置。一交叉位置176可位在自基板152起之偏移距離181。交叉位置176可產生可改變GCIB 128A’之特性的局部之高壓區域。因此,被改變之GCIB 128A’可用以操控在基板152之表面上所完成的蝕刻或沉積。如圖11A-C及12A-12H中所示,交叉點的位置可沿著GCIB 128A’而變化,且甚至可包含在基板152之表面或在基板152之表面的直接上方的交叉。
儘管以上僅詳述本發明之若干實施例,然而該領域中具有通常知識者將輕易察知在不實質偏離本發明之新穎教示及優點的情況下,許多修改在實施例中是可能的。因此,係意圖將所有如此修改包含於本發明之範圍內。
400‧‧‧剖面
408‧‧‧燈絲電源
410‧‧‧電弧電源
412‧‧‧電子偏壓電源
414‧‧‧電子斥拒器電源
416‧‧‧電子抽取電源
418‧‧‧離子斥拒器電源
420‧‧‧加速電源
422‧‧‧氣體進料管線
424‧‧‧氣體閥
426‧‧‧氣體進入開孔
430‧‧‧光纖控制器
432‧‧‧控制連結
434‧‧‧電纜
442‧‧‧電漿區域
444‧‧‧射束區域
452‧‧‧細桿陽極電極陣列
458‧‧‧細桿電子斥拒器電極陣列
464‧‧‧細桿離子斥拒器電極陣列
470‧‧‧電漿電子來源
472‧‧‧電漿腔室
476‧‧‧熱離子燈絲
477‧‧‧絕緣體
480‧‧‧抽取開孔
482‧‧‧開孔
484‧‧‧開孔
488‧‧‧電子加速電極
490‧‧‧電子減速電極

Claims (20)

  1. 一種氣體團簇離子束(GCIB)系統,包含:一真空處理系統;一氣體團簇離子束(GCIB)產生系統,用以在該真空處理系統中產生GCIB;一分子束產生系統,用以在該真空處理系統中產生一分子束;一基板固持器,用以將一基板固持在基板平面中,且定位成使該基板與該GCIB交叉;及一掃描系統,耦接至該基板固持器,並用以將該基板掃描穿過該GCIB而使該GCIB與該基板交叉。
  2. 如申請專利範圍第1項之氣體團簇離子束(GCIB)系統,其中該分子束與該GCIB交叉於該基板之曝露表面。
  3. 如申請專利範圍第1項之氣體團簇離子束(GCIB)系統,其中該分子束與該GCIB交叉於自該基板之曝露表面起的一距離處。
  4. 如申請專利範圍第1項之氣體團簇離子束(GCIB)系統,其中在該真空處理系統中,該分子束產生系統包含一第一分子束產生系統,且更包含一第二分子束產生系統。
  5. 如申請專利範圍第1項之氣體團簇離子束(GCIB)系統,其中該分子束係相對於該GCIB而以範圍在0及90度之間的角度偏移形成斜角,該角度偏移包含90度。
  6. 如申請專利範圍第5項之氣體團簇離子束(GCIB)系統,其中該GCIB係以幾乎垂直於該基板之曝露表面的入射角而形成斜角。
  7. 如申請專利範圍第1項之氣體團簇離子束(GCIB)系統,其中該分子束及該GCIB係以相對於該基板之曝露表面幾乎垂直的入射角而形成斜角,且其中該分子束及該GCIB係相對於彼此而以在5到45度之範圍內的角度偏移形成斜角。
  8. 如申請專利範圍第1項之氣體團簇離子束(GCIB)系統,其中該GCIB產生系統包含:一壓力噴嘴;一噴嘴分離器,位在該壓力噴嘴之出口以後;一游離器,位在該噴嘴分離器之出口以後;及一或更多加速電極,安排在該GCIB周圍以加速該GCIB。
  9. 如申請專利範圍第1項之氣體團簇離子束(GCIB)系統,其中該分子束產生系統包含一第二壓力噴嘴以產生與該GCIB或該基板交互作用之一第二分子束。
  10. 如申請專利範圍第9項之氣體團簇離子束(GCIB)系統,其中該分子束產生系統包含一第三壓力噴嘴以產生與該GCIB或該基板交互作用之一第三分子束。
  11. 如申請專利範圍第9項之氣體團簇離子束(GCIB)系統,更包含:一或更多高壓來源,耦接至該分子束產生系統,並用以供應一反應氣體或一惰性氣體至該分子束產生系統。
  12. 如申請專利範圍第1項之氣體團簇離子束(GCIB)系統,其中該分子束產生系統包含一第一分子束產生系統,且該分子束包含一第一分子束,且該氣體團簇離子束(GCIB)系統更包含: 一第二分子束產生系統,用以在該真空處理系統中產生一第二分子束,其中該第一分子束產生系統及該第二分子束產生系統係相對於彼此及該GCIB產生系統而安排,使得該第一分子束與該GCIB交叉於接近該基板上之一預定位置的一射束交互作用位置,且該第二分子束與該GCIB交叉於接近該基板上之該預定位置的一第二射束交互作用位置。
  13. 如申請專利範圍第1項之氣體團簇離子束(GCIB)系統,更包含:一分子束定位系統,耦接至該分子束產生系統,且用以改變該分子束相對於該基板之位向。
  14. 如申請專利範圍第13項之氣體團簇離子束(GCIB)系統,其中該分子束定位系統係用以執行該分子束相對於該基板平面之角度位向的原位調整。
  15. 一種利用氣體團簇離子束(GCIB)處理基板的方法,包含:在一真空處理系統中將一基板設置在一基板固持器上;在該真空處理系統中產生該氣體團簇離子束(GCIB);在該真空處理系統中產生一分子束;及使該分子束與該GCIB交叉。
  16. 如申請專利範圍第15項之利用氣體團簇離子束(GCIB)處理基板的方法,其中該分子束及該GCIB的交叉包含介於0度及90度之間的入射角。
  17. 如申請專利範圍第15項之利用氣體團簇離子束(GCIB)處理基板的方法,其中該分子束及該GCIB的交叉發生在自該基板起之一偏移距離處。
  18. 如申請專利範圍第15項之利用氣體團簇離子束(GCIB)處理基板的方法,其中該分子束的產生包含提供一或更多氣體至一噴嘴,該噴嘴在入口 及出口之間可維持壓力差異,該一或更多氣體包含一含氮氣體、一含氬氣體、或其組合。
  19. 如申請專利範圍第18項之利用氣體團簇離子束(GCIB)處理基板的方法,其中該一或更多氣體包含一含鹵素氣體、一含氧氣體、或其組合。
  20. 如申請專利範圍第15項之利用氣體團簇離子束(GCIB)處理基板的方法,其中該分子束包含一第一分子束,該方法更包含產生與該GCIB交叉之一第二分子束。
TW103140653A 2013-11-22 2014-11-24 分子束增強氣體團簇離子束處理 TWI584332B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201361907669P 2013-11-22 2013-11-22

Publications (2)

Publication Number Publication Date
TW201535461A TW201535461A (zh) 2015-09-16
TWI584332B true TWI584332B (zh) 2017-05-21

Family

ID=53180201

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103140653A TWI584332B (zh) 2013-11-22 2014-11-24 分子束增強氣體團簇離子束處理

Country Status (5)

Country Link
US (1) US9236221B2 (zh)
JP (1) JP6556716B2 (zh)
CN (1) CN105917438B (zh)
TW (1) TWI584332B (zh)
WO (1) WO2015077604A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10825685B2 (en) * 2010-08-23 2020-11-03 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
US9551079B2 (en) * 2013-09-13 2017-01-24 Purdue Research Foundation Systems and methods for producing metal clusters; functionalized surfaces; and droplets including solvated metal ions
US9735019B2 (en) * 2014-09-05 2017-08-15 Tel Epion Inc. Process gas enhancement for beam treatment of a substrate
KR102257901B1 (ko) * 2014-09-19 2021-05-31 삼성전자주식회사 반도체 검사 장비 및 이를 이용한 반도체 소자의 검사 방법
KR102469807B1 (ko) * 2015-10-28 2022-11-23 에스케이하이닉스 주식회사 반사형 포토마스크의 제조방법
JP6596340B2 (ja) * 2016-01-21 2019-10-23 東京エレクトロン株式会社 基板洗浄方法および基板洗浄装置
US10872760B2 (en) * 2016-07-26 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Cluster tool and manufacuturing method of semiconductor structure using the same
CN107121694A (zh) * 2017-05-26 2017-09-01 宜昌后皇真空科技有限公司 一种在线监测脉冲气体团簇离子束的方法及系统
CN107146752A (zh) * 2017-06-26 2017-09-08 武汉大学 一种可视化气体团簇离子射流的方法及装置
KR102020714B1 (ko) * 2017-10-30 2019-09-11 한국기초과학지원연구원 기체 클러스터 이온 빔을 이용한 나노 구조 촉매 제조 방법
US10593598B2 (en) 2017-12-23 2020-03-17 International Business Machines Corporation Vertical FET with various gate lengths by an oxidation process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080047487A1 (en) * 2006-07-14 2008-02-28 Georgia Tech Research Corporation In-situ flux measurement devices, methods, and systems
US20080142735A1 (en) * 2006-10-31 2008-06-19 Fei Company Charged-particle-beam processing using a cluster source
TW201110182A (en) * 2009-02-04 2011-03-16 Tel Epion Inc Multiple nozzle gas cluster ion beam system
US8455060B2 (en) * 2009-02-19 2013-06-04 Tel Epion Inc. Method for depositing hydrogenated diamond-like carbon films using a gas cluster ion beam
TW201327666A (zh) * 2011-09-01 2013-07-01 Tel Epion Inc 用以達成多種材料之目標蝕刻處理指標的氣體團簇離子束蝕刻方法
US8513138B2 (en) * 2011-09-01 2013-08-20 Tel Epion Inc. Gas cluster ion beam etching process for Si-containing and Ge-containing materials

Family Cites Families (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS57161060A (en) * 1981-03-30 1982-10-04 Inoue Japax Res Inc Ion working device
US5527731A (en) * 1992-11-13 1996-06-18 Hitachi, Ltd. Surface treating method and apparatus therefor
US5814194A (en) * 1994-10-20 1998-09-29 Matsushita Electric Industrial Co., Ltd Substrate surface treatment method
DE60041149D1 (de) * 1999-12-06 2009-01-29 Tel Epion Inc Gerät zum glätten von substraten mittels gas-cluster-ionenstrahlung
JP4902088B2 (ja) * 2000-07-10 2012-03-21 ティーイーエル エピオン インク. ガスクラスターイオンビーム処理による薄膜を改良するためのシステムおよび方法
WO2002006557A1 (en) * 2000-07-14 2002-01-24 Epion Corporation Gcib size diagnostics and workpiece processing
EP1305452A4 (en) * 2000-07-14 2007-12-26 Tel Epion Inc METHOD AND DEVICE FOR GAS CLUSTER ION BEAM SIZING AND WORKPIECE PROCESSING
US6781117B1 (en) * 2002-05-30 2004-08-24 Ross C Willoughby Efficient direct current collision and reaction cell
US7253406B1 (en) * 2002-06-01 2007-08-07 Chem-Space Associates, Incorporated Remote reagent chemical ionization source
US7410890B2 (en) * 2002-12-12 2008-08-12 Tel Epion Inc. Formation of doped regions and/or ultra-shallow junctions in semiconductor materials by gas-cluster ion irradiation
JP4805251B2 (ja) * 2004-03-19 2011-11-02 ティーイーエル エピオン インク. ガスクラスターイオンビームの改良された処理方法および装置
EP1807859A2 (en) * 2004-10-25 2007-07-18 TEL Epion Inc. Ionizer and method for gas-cluster ion-beam formation
US7459702B2 (en) * 2004-10-26 2008-12-02 Jayant Neogi Apparatus and method for polishing gemstones and the like
CN101336456A (zh) * 2005-12-01 2008-12-31 艾派恩有限公司 通过离子束扫描工件的方法和装置
US7550748B2 (en) * 2007-03-30 2009-06-23 Tel Epion, Inc. Apparatus and methods for systematic non-uniformity correction using a gas cluster ion beam
US8293126B2 (en) * 2007-09-28 2012-10-23 Tel Epion Inc. Method and system for multi-pass correction of substrate defects
US7834327B2 (en) * 2008-09-23 2010-11-16 Tel Epion Inc. Self-biasing active load circuit and related power supply for use in a charged particle beam processing system
US8097860B2 (en) 2009-02-04 2012-01-17 Tel Epion Inc. Multiple nozzle gas cluster ion beam processing system and method of operating
US8877299B2 (en) * 2009-03-31 2014-11-04 Tel Epion Inc. Method for enhancing a substrate using gas cluster ion beam processing
US8217372B2 (en) * 2009-06-30 2012-07-10 Exogenesis Corporation Gas-cluster-jet generator and gas-cluster ion-beam apparatus utilizing an improved gas-cluster-jet generator
US10202684B2 (en) * 2010-08-23 2019-02-12 Exogenesis Corporation Method for neutral beam processing based on gas cluster ion beam technology and articles produced thereby
CN103180030B (zh) * 2010-08-23 2017-04-12 艾克索乔纳斯公司 基于气体团簇离子束技术的中性射束处理方法和设备
US9029808B2 (en) * 2011-03-04 2015-05-12 Tel Epion Inc. Low contamination scanner for GCIB system
EP2749150B1 (en) * 2011-08-22 2020-03-11 Exogenesis Corporation Method for modifying biocompatibility characteristics of a surface of a biological material with a neutral beam
US8691700B2 (en) * 2011-09-01 2014-04-08 Tel Epion Inc. Gas cluster ion beam etch profile control using beam divergence
WO2013148181A2 (en) * 2012-03-28 2013-10-03 Ulvac-Phi, Inc. Method and apparatus to provide parallel acquisition of mass spectrometry/mass spectrometry data
US8722542B2 (en) 2012-06-08 2014-05-13 Tel Epion Inc. Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
US8728947B2 (en) 2012-06-08 2014-05-20 Tel Epion Inc. Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
GB201308505D0 (en) * 2013-05-13 2013-06-19 Ionoptika Ltd Use of a gas cluster ion beam containing hydrocarbon for sample analysis
US9209033B2 (en) * 2013-08-21 2015-12-08 Tel Epion Inc. GCIB etching method for adjusting fin height of finFET devices

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080047487A1 (en) * 2006-07-14 2008-02-28 Georgia Tech Research Corporation In-situ flux measurement devices, methods, and systems
US20080142735A1 (en) * 2006-10-31 2008-06-19 Fei Company Charged-particle-beam processing using a cluster source
TW201110182A (en) * 2009-02-04 2011-03-16 Tel Epion Inc Multiple nozzle gas cluster ion beam system
US8455060B2 (en) * 2009-02-19 2013-06-04 Tel Epion Inc. Method for depositing hydrogenated diamond-like carbon films using a gas cluster ion beam
TW201327666A (zh) * 2011-09-01 2013-07-01 Tel Epion Inc 用以達成多種材料之目標蝕刻處理指標的氣體團簇離子束蝕刻方法
US8513138B2 (en) * 2011-09-01 2013-08-20 Tel Epion Inc. Gas cluster ion beam etching process for Si-containing and Ge-containing materials

Also Published As

Publication number Publication date
JP2016539469A (ja) 2016-12-15
JP6556716B2 (ja) 2019-08-07
US20150144786A1 (en) 2015-05-28
US9236221B2 (en) 2016-01-12
CN105917438A (zh) 2016-08-31
WO2015077604A1 (en) 2015-05-28
TW201535461A (zh) 2015-09-16
CN105917438B (zh) 2018-04-24

Similar Documents

Publication Publication Date Title
TWI584332B (zh) 分子束增強氣體團簇離子束處理
TWI550724B (zh) 用以調整鰭式場效電晶體元件之鰭高的氣體團簇離子束蝕刻方法
TWI508166B (zh) 用以達成多種材料之目標蝕刻處理指標的氣體團簇離子束蝕刻方法
US20150270135A1 (en) Gas cluster ion beam etching process
US9735019B2 (en) Process gas enhancement for beam treatment of a substrate
US8728947B2 (en) Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
US8513138B2 (en) Gas cluster ion beam etching process for Si-containing and Ge-containing materials
US8557710B2 (en) Gas cluster ion beam etching process for metal-containing materials
US20120225532A1 (en) Method for controlling a resistive property in a resistive element using a gas cluster ion beam
US8722542B2 (en) Gas cluster ion beam process for opening conformal layer in a high aspect ratio contact via
US9500946B2 (en) Sidewall spacer patterning method using gas cluster ion beam
US9875947B2 (en) Method of surface profile correction using gas cluster ion beam
US9123505B1 (en) Apparatus and methods for implementing predicted systematic error correction in location specific processing
CN112151371A (zh) 一种对含硅、含锗和含金属材料进行气簇离子束刻蚀方法
CN112151385A (zh) 一种用于调整finfet器件的鳍高的gcib刻蚀方法