TW201511131A - 半導體裝置之製造方法,基板處理裝置及記錄媒體 - Google Patents

半導體裝置之製造方法,基板處理裝置及記錄媒體 Download PDF

Info

Publication number
TW201511131A
TW201511131A TW103123277A TW103123277A TW201511131A TW 201511131 A TW201511131 A TW 201511131A TW 103123277 A TW103123277 A TW 103123277A TW 103123277 A TW103123277 A TW 103123277A TW 201511131 A TW201511131 A TW 201511131A
Authority
TW
Taiwan
Prior art keywords
gas
supplying
film
material gas
predetermined number
Prior art date
Application number
TW103123277A
Other languages
English (en)
Other versions
TWI563569B (zh
Inventor
Satoshi Shimamoto
Yoshiro Hirose
Atsushi Sano
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Publication of TW201511131A publication Critical patent/TW201511131A/zh
Application granted granted Critical
Publication of TWI563569B publication Critical patent/TWI563569B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32733Means for moving the material to be treated
    • H01J37/32752Means for moving the material to be treated for moving the material across the discharge
    • H01J37/32761Continuous moving
    • H01J37/32779Continuous moving of batches of workpieces
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

本發明係利用較高之控制性而以高濃度之方式形成添加有碳之薄膜。 本發明係具有針對循環進行既定次數而藉此在基板上形成包含有矽、碳及既定元素之薄膜的步驟;該循環係包含有以下之步驟:對基板供給原料氣體的步驟,該原料氣體係於1分子中包含有至少2個矽,且更進一步包含有碳及鹵素元素,並且具有Si-C鍵;及對基板供給改質氣體的步驟,該改質氣體係包含有氮或氧中之任一者即既定元素。

Description

半導體裝置之製造方法,基板處理裝置及記錄媒體
本發明係關於一種半導體裝置之製造方法、基板處理裝置及記錄媒體。
作為半導體裝置之製造步驟之一個步驟,有時進行如下步驟:對基板供給例如含有矽之原料氣體或者氮化氣體或氧化氣體等,而於基板上形成氮化矽膜或氧化矽膜等薄膜。
於基板上形成如上述之薄膜時,例如可藉由使薄膜含有碳等而提高對濕式蝕刻之耐受性。此時,若可高精度地控制薄膜中之碳濃度並使薄膜含有高濃度之碳,則可使膜之蝕刻耐受性進一步提高。
本發明之目的係在於提供一種可利用較高之控制性而以高濃度之方式形成含有碳之薄膜的半導體裝置之製造方法、基板處理裝置及記錄媒體。
根據本發明之一態樣,提供一種半導體裝置之製造方法,其具有針對循環進行既定次數而藉此在基板上形成包含有矽、碳及既定元素之薄膜的步驟;該循環係包含有如下之步驟: 對上述基板供給原料氣體的步驟,該原料氣體係於1分子中包含有至少2個矽,且更進一步包含有碳及鹵素元素,並且具有Si-C鍵;及對上述基板供給改質氣體的步驟,該改質氣體係包含有氮或氧中之任一者即上述既定元素。
根據本發明之另一態樣,提供一種基板處理裝置,其具有:處理室,其收納基板;原料氣體供給系統,其向上述處理室內供給原料氣體,該原料氣體係於1分子中包含有至少2個矽,且更進一步包含有碳及鹵素元素,並且具有Si-C鍵;改質氣體供給系統,其向上述處理室內供給改質氣體,該改質氣體係包含有氮或氧中之任一者即既定元素;及控制部,其以實施針對循環進行既定次數而藉此在上述基板上形成包含有矽、碳及上述既定元素之薄膜的處理之方式對上述原料氣體供給系統及上述改質氣體供給系統進行控制,該循環係包含有對上述處理室內之基板供給上述原料氣體之處理、及對上述處理室內之上述基板供給上述改質氣體之處理。
根據本發明之又一態樣,提供一種電腦可讀取之記錄媒體,其記錄有程式,該程式係使電腦執行針對循環進行既定次數而藉此在基板上形成包含有矽、碳及既定元素之薄膜的程序;該循環係包括有以下之程序:對基板處理裝置之處理室內之上述基板供給原料氣體之程序,該原料氣體係於1分子中包含有至少2個矽,且更進一步包含有碳及鹵素元素,並且具有Si-C鍵;及 對上述處理室內之上述基板供給改質氣體之程序,該改質氣體係包含有氮或氧中之任一者即上述既定元素。
根據本發明,可利用較高之控制性而以高濃度之方式形成含有碳之薄膜。
115‧‧‧晶舟升降機
121‧‧‧控制器(控制部)
121a‧‧‧CPU
121b‧‧‧RAM
121c‧‧‧記憶裝置
121d‧‧‧I/O埠
121e‧‧‧內部匯流排
122‧‧‧輸入輸出裝置
123‧‧‧外部記憶裝置
200‧‧‧晶圓(基板)
201‧‧‧處理室
202‧‧‧處理爐
203‧‧‧反應管
207‧‧‧加熱器
209‧‧‧歧管
217‧‧‧晶舟
218‧‧‧隔熱板
219‧‧‧密封蓋
220a、220b‧‧‧O形環
224‧‧‧電漿產生區域
231‧‧‧排氣管
232a~232f‧‧‧氣體供給管
237‧‧‧緩衝室
241a~241f‧‧‧質量流量控制器
242a‧‧‧BTCSM氣體供給源
242b‧‧‧NH3氣體供給源
242c‧‧‧TCDMDS氣體供給源
242d‧‧‧O2氣體供給源
242e、242f‧‧‧N2氣體供給源
243a~243f‧‧‧閥門
244‧‧‧APC閥門(壓力調整部)
245‧‧‧壓力感測器
246‧‧‧真空泵
249a、249b‧‧‧噴嘴
250a、250b、250c‧‧‧氣體供給孔
255‧‧‧旋轉軸
263‧‧‧溫度感測器
267‧‧‧旋轉機構
269、270‧‧‧棒狀電極
272‧‧‧整合器
273‧‧‧高頻電源
275‧‧‧電極保護管
圖1係本發明之第1實施形態中較佳地使用之基板處理裝置之縱型處理爐的概略構成圖,以縱剖面圖表示處理爐部分。
圖2係本發明之第1實施形態中較佳地使用之基板處理裝置之縱型處理爐的概略構成圖,以圖1之A-A線剖面圖表示處理爐部分。
圖3係本發明之第1實施形態中較佳地使用之基板處理裝置之控制器之概略構成圖,以方塊圖表示控制器之控制系統。
圖4係表示本發明之第1實施形態之成膜順序中之成膜流程的圖。
圖5(a)係表示本發明之第1實施形態之成膜順序中之氣體供給時點的圖,(b)至(d)係表示其變形例1~3之圖。
圖6(a)係表示本發明之第2實施形態之成膜順序中之氣體供給及RF電力供給之時點的圖,(b)、(c)係表示其變形例1、2之圖。
圖7係表示本發明之第2實施形態之變形例1之成膜順序中的成膜流程之圖。
圖8(a)、(b)、(c)係表示另一實施形態之成膜順序中之氣體供給時點的圖,(d)係表示又一實施形態之成膜順序中之氣體供給及RF電力供給之時點的圖。
圖9(a)至(c)係表示另外又一實施形態之成膜順序中之氣體供給及 RF電力供給之時點的圖。
圖10(a)至(e)係表示用作原料氣體之各種矽烷之化學結構式的圖,分別表示BTCSM、BTCSE、TCDMDS、DCTMDS、MCPMDS之化學結構式。
<第1實施形態>
以下,針對本發明之第1實施形態一方面參照圖式一方面進行說明。
(1)基板處理裝置之整體構成
如圖1所示,處理爐202具有作為加熱手段(加熱機構)之加熱器207。加熱器207為圓筒形狀,藉由受作為保持板之加熱器基座(未圖示)支持而垂直地安裝。加熱器207亦作為如下述般利用熱使氣體活化(激發)之活化機構(激發部)而發揮功能。
於加熱器207之內側,與加熱器207同心圓狀地配設有反應管203。反應管203係例如由石英(SiO2)或碳化矽(SiC)等耐熱性材料構成,形成為上端封閉下端開口之圓筒形狀。於反應管203之下方,與反應管203同心圓狀地配設有歧管(入口法蘭(inlet flange))209。歧管209例如由不鏽鋼等金屬構成,形成為上端及下端開口之圓筒形狀。歧管209之上端部接合於反應管203之下端部,以支持反應管203之方式構成。於歧管209與反應管203之間設置有作為密封構件之O形環220a。藉由歧管209被加熱器基座支持,而反應管203成為垂直安裝之狀態。處理容器(反應容器)主要由反應管203與歧管209所構成。於處理容器之筒中空部形成有處理室201,其以可將作為基板之晶圓200以藉由下述晶舟217以水平姿勢於垂直方向多級整齊排列之狀態收納之方式構成。
於處理室201內,以貫通歧管209之側壁之方式設置有 噴嘴249a、249b。噴嘴249a、249b係分別連接有氣體供給管232a、232b。於氣體供給管232a連接有氣體供給管232c,於氣體供給管232b連接有氣體供給管232d。如上所述,於反應管203中設置有2根噴嘴249a、249b、及數根氣體供給管232a~232d,以可向處理室201內供給數種氣體之方式構成。
於氣體供給管232a、232c之上游端分別連接有例如作為 原料氣體供給源之(SiCl3)2CH2(BTCSM)氣體供給源242a、(CH3)2Si2Cl4(TCDMDS)氣體供給源242c。於氣體供給管232b、232d之上游端分別連接有例如作為改質氣體供給源之氮化氣體供給源即NH3氣體供給源242b、及作為改質氣體供給源之氧化氣體供給源即O2氣體供給源242d。於分別連接於氣體供給管232a、232b之氣體供給管232e、232f之上游端分別連接有例如作為惰性氣體供給源之N2氣體供給源242e、242f。於氣體供給管232a~232f自連接各氣體供給源242a~242f之上游方向依序分別設置有作為流量控制器(流量控制部)之質量流量控制器(MFC)241a~241f、及作為開關閥之閥門243a~243f。於較該氣體供給管232a之閥門243a下游側連接有氣體供給管232c之下游端,進而於其下游側連接有氣體供給管232e之下游端。於較氣體供給管232b之閥門243b下游側連接有氣體供給管232d之下游端,進而於其下游側連接有氣體供給管232f之下游端。
於氣體供給管232a之前端部連接有上述之噴嘴249a。 噴嘴249a係以如下方式進行設置,即如圖2所示般,於反應管203之內壁與晶圓200之間之圓環狀空間中,自反應管203之內壁之下部沿著上部向晶圓200之裝載方向上方豎立。即,噴嘴249a係於排列有晶 圓200之晶圓排列區域之側方、水平地包圍晶圓排列區域的區域,以沿著晶圓排列區域之方式進行設置。噴嘴249a係以L字型之長噴嘴之形式構成,其水平部以貫通歧管209之側壁之方式進行設置,其垂直部以至少自晶圓排列區域之一端側向另一端側豎立之方式進行設置。 於噴嘴249a之側面設置有供給氣體之氣體供給孔250a。如圖2所示,氣體供給孔250a係以朝向反應管203之中心之方式開口,可向晶圓200供給氣體。該氣體供給孔250a係自反應管203之下部直至上部設置有數個,且各自具有相同之開口面積,進而以相同之開口間距進行設置。
於氣體供給管232b之前端部連接有上述之噴嘴249b。 噴嘴249b係設置於作為氣體分散空間之緩衝室237內。緩衝室237係如圖2所示般,於反應管203之內壁與晶圓200之間之圓環狀空間中、又於反應管203內壁之自下部直至上部之部分,沿著晶圓200之裝載方向進行設置。即,緩衝室237係於晶圓排列區域之側方、水平地包圍晶圓排列區域的區域以沿著晶圓排列區域之方式進行設置。於緩衝室237之與晶圓200鄰接之壁之端部設置有供給氣體之氣體供給孔250c。氣體供給孔250c係以朝向反應管203之中心之方式開口,可向晶圓200供給氣體。該氣體供給孔250c係自反應管203之下部直至上部設置有數個,且各自具有相同之開口面積,進而以相同之開口間距進行設置。
噴嘴249b係以如下方式進行設置,即如圖2所示般, 於緩衝室237之與設置有氣體供給孔250c之端部相反側之端部,自反應管203之內壁之下部沿著上部向晶圓200之裝載方向上方豎立。即,噴嘴249b係於排列有晶圓200之晶圓排列區域之側方、水平地包圍晶圓排列區域的區域,以沿著晶圓排列區域之方式進行設置。噴嘴249b 係作為L字型之長噴嘴而構成,其水平部以貫通歧管209之側壁之方式進行設置,其垂直部以至少自晶圓排列區域之一端側向另一端側豎立之方式進行設置。於噴嘴249b之側面設置有供給氣體之氣體供給孔250b。如圖2所示,氣體供給孔250b係以朝向緩衝室237之中心之方式開口。該氣體供給孔250b係與緩衝室237之氣體供給孔250c同樣地,自反應管203之下部直至上部設置有數個。關於該等數個氣體供給孔250b各自之開口面積,於緩衝室237內與處理室201內之差壓較小之情形時,可設為自上游側(下部)直至下游側(上部)分別為相同開口面積且相同開口間距,於差壓較大之情形時,可使各自之開口面積自上游側向下游側變大,或使開口間距自上游側向下游側變小。
於本實施形態中,將各氣體供給孔250b之開口面積或 開口間距自上游側直至下游側以上述方式進行調節,藉此,首先自各氣體供給孔250b噴出雖有流速差但流量大致相同之氣體。然後,將自該等各氣體供給孔250b噴出之氣體暫時導入緩衝室237內,於緩衝室237內進行氣體流速差之均勻化。即,自各氣體供給孔250b噴出至緩衝室237內之氣體係於緩衝室237內將各氣體之粒子速度緩和後,自氣體供給孔250c向處理室201內噴出。藉此,自各氣體供給孔250b噴出至緩衝室237內之氣體於自各氣體供給孔250c向處理室201內噴出時,成為具有均勻之流量與流速之氣體。
如此,於本實施形態中之使用長噴嘴之氣體供給方法 中,經由配置於由反應管203之內壁與所裝載之數片晶圓200之端部所定義之圓環狀向縱長延伸之空間內、即圓筒狀之空間內的噴嘴249a、249b及緩衝室237而搬送氣體,並使氣體自分別於噴嘴249a、249b及緩衝室237開口之氣體供給孔250a~250c於晶圓200之附近首 先向反應管203內噴出,而將反應管203內之氣體之主要流向設為與晶圓200之表面平行之方向、即水平方向。藉由設為此種構成,而有如下效果,即可向各晶圓200均勻地供給氣體,而提高各晶圓200之表面上所形成之膜之膜厚均勻性。於晶圓200之表面上流動之氣體、即反應後之殘留氣體向排氣口、即下述之排氣管231之方向流動,且該殘留氣體之流動方向係根據排氣口之位置而酌情特定,並不限於垂直方向。
作為1分子中含有至少2個矽(Si)、進而含有碳(C)及鹵 素元素(氟(F)、氯(Cl)、溴(Br)等)且具有Si-C鍵之原料氣體,例如含有Si、作為伸烷基之亞甲基、及作為鹵素基之氯基的原料氣體,即含有亞甲基之氯矽烷系原料氣體係自氣體供給管232a經由MFC 241a、閥門243a、噴嘴249a向處理室201內進行供給。所謂含有亞甲基之氯矽烷系原料氣體,係指含有亞甲基及氯基之矽烷系原料氣體,且該原料氣體至少含有Si、含有C之亞甲基、及作為鹵素元素之Cl。亦可將含有亞甲基之氯矽烷系原料氣體稱為成為矽(Si)源及碳(C)源之原料氣體。藉由使用該類型之原料氣體,而可如下述般形成矽系之薄膜,又可於所形成之薄膜中高濃度地摻入C。又,含有亞甲基之氯矽烷系原料氣體於1分子中含有至少2個Si,藉此可如下述般形成更緻密之薄膜。作為自氣體供給管232a供給之含有亞甲基之氯矽烷系原料氣體,例如可使用亞甲基雙(methylenebis)(三氯矽烷)氣體,即雙(三氯矽烷基)甲烷((SiCl3)2CH2,簡稱:BTCSM)氣體。
如圖10(a)所示,BTCSM係於其化學結構式中(1分子中) 含有作為伸烷基之亞甲基。BTCSM所含有之亞甲基係2個鍵結鍵分別與Si鍵結而形成Si-C-Si鍵。原料氣體所具有之Si-C鍵例如為BTCSM 所含有之Si-C-Si鍵之一部分,BTCSM所含有之亞甲基含有構成該Si-C鍵之C。
又,1分子中含有至少2個Si、進而含有C及鹵素元素 且具有Si-C鍵之原料氣體中,例如包括含有Si、作為伸烷基之伸乙基、及作為鹵素基之氯基的原料氣體,即含有伸乙基之氯矽烷系原料氣體。作為含有伸乙基之氯矽烷系原料氣體,例如可使用伸乙基雙(三氯矽烷)氣體,即1,2-雙(三氯矽烷基)乙烷((SiCl3)2C2H4,簡稱:BTCSE)氣體等。
如圖10(b)所示,BTCSE係於其化學結構式中(1分子中) 含有作為伸烷基之伸乙基。BTCSE所含有之伸乙基係2個鍵結鍵分別與Si鍵結而形成Si-C-C-Si鍵。原料氣體所具有之Si-C鍵例如為BTCSE所含有之Si-C-C-Si鍵之一部分,且BTCSE所含有之伸乙基含有構成該Si-C鍵之C。
再者,所謂伸烷基,係自通式CnH2n+2所表示之鏈狀飽 和烴(烷烴)去除了2個氫(H)原子之官能基,為通式CnH2n所表示之原子之集合體。伸烷基中,除上述所列舉之亞甲基或伸乙基外,亦包括伸丙基及伸丁基等。如此,1分子中含有至少2個Si、進而含有C及鹵素元素且具有Si-C鍵之原料氣體中,包括含有Si、伸烷基及鹵素元素之伸烷基鹵矽烷系原料氣體。伸烷基鹵矽烷系原料氣體為含有伸烷基之鹵矽烷系原料氣體,亦可謂具有維持鹵矽烷系原料氣體中Si之鍵結鍵上鍵結有大量鹵素元素之狀態而向例如Si-Si鍵間導入伸烷基而成的結構之氣體。再者,具有Si-C鍵之原料氣體亦可被理解為下述於Si上加成烷基而成之原料氣體。然而,可認為以介於Si-Si鍵間之方式具有C之上述分子結構可如下述般將氣體分子於反應時之位阻抑制得更 小。BTCSM氣體及BTCSE氣體等包含於伸烷基鹵矽烷系原料氣體中。
作為1分子中含有至少2個Si、進而含有C及鹵素元素 且具有Si-C鍵之原料氣體,例如含有Si、作為烷基之甲基、及作為鹵素基之氯基的原料氣體,即含有甲基之氯矽烷系原料氣體係自氣體供給管232c經由MFC 241c、閥門243c、氣體供給管232a、噴嘴249a而向處理室201內進行供給。所謂含有甲基之氯矽烷系原料氣體,係指含有甲基及氯基之矽烷系原料氣體,且該原料氣體至少含有Si、含有C之甲基、及作為鹵素元素之Cl。亦可將含有甲基之氯矽烷系原料氣體稱為成為矽(Si)源及碳(C)源之原料氣體。藉由使用該類型之原料氣體,而可如下述般形成矽系之薄膜,又可於所形成之薄膜中高濃度地組入C。又,含有甲基之氯矽烷系原料氣體於1分子中含有至少2個Si,藉此可如下述般形成更緻密之薄膜。作為含有甲基之氯矽烷系原料氣體,例如可使用1,1,2,2-四氯-1,2-二甲基二矽烷((CH3)2Si2Cl4,簡稱:TCDMDS)氣體、1,2-二氯-1,1,2,2-四甲基二矽烷((CH3)4Si2Cl2,簡稱:DCTMDS)氣體、及1-單氯-1,1,2,2,2-五甲基二矽烷((CH3)5Si2Cl,簡稱:MCPMDS)氣體等。作為自氣體供給管232c供給之含有甲基之氯矽烷系原料氣體,例如可使用TCDMDS氣體。
如圖10(c)所示,TCDMDS係於其化學結構式中(1分子中)含有2個作為烷基之甲基。TCDMDS所含有之2個甲基係各鍵結鍵分別與Si鍵結而形成Si-C鍵。TCDMDS為二矽烷之衍生物,具有Si-Si鍵。即,TCDMDS具有Si彼此鍵結、且Si與C鍵結而成之Si-Si-C鍵。原料氣體所具有之Si-C鍵例如為TCDMDS所含有之Si-Si-C鍵之一部分,TCDMDS所含有之2個甲基分別含有構成原料氣體所具有之Si-C鍵之C。
如圖10(d)所示,DCTMDS係於其化學結構式中(1分子中)含有4個作為烷基之甲基。DCTMDS所含有之4個甲基係各鍵結鍵分別與Si鍵結而形成Si-C鍵。DCTMDS為二矽烷之衍生物,具有Si-Si鍵。即,DCTMDS具有Si彼此鍵結、且Si與C鍵結而成之Si-Si-C鍵。原料氣體所具有之Si-C鍵例如為DCTMDS所含有之Si-Si-C鍵之一部分,DCTMDS所含有之4個甲基分別含有構成原料氣體所具有之Si-C鍵之C。
如圖10(e)所示,MCPMDS係於其化學結構式中(1分子中)含有5個作為烷基之甲基。MCPMDS所含有之5個甲基係各鍵結鍵分別與Si鍵結而形成Si-C鍵。MCPMDS為二矽烷之衍生物,具有Si-Si鍵。即,MCPMDS具有Si彼此鍵結、且Si與C鍵結而成之Si-Si-C鍵。原料氣體所具有之Si-C鍵例如為MCPMDS所含有之Si-C鍵之一部分,MCPMDS所含有之5個甲基分別含有構成原料氣體所具有之Si-C鍵之C。與上述之BTCSM氣體、BTCSE氣體、TCDMDS氣體、DCTMDS氣體等原料氣體不同,MCPMDS氣體具有包圍Si之甲基與氯基之配置於MCPMDS分子中(化學結構式中)不對稱的非對稱(asymmetry)結構。如上所述,於本實施形態中,不僅可使用如圖10(a)~(d)之化學結構式對稱(symmetry)之原料氣體,亦可使用化學結構式不對稱之原料氣體。
再者,所謂烷基,係自通式CnH2n+2所表示之鏈狀飽和烴(烷烴)去除了1個氫原子之官能基,為通式CnH2n+1所表示之原子之集合體。烷基中,除上述所列舉之甲基外,亦包括乙基、丙基、丁基等。於是,1分子中含有至少2個Si、進而含有C及鹵素元素且具有Si-C鍵之原料氣體中,包括含有Si、烷基及鹵素元素之烷基鹵矽烷系 原料氣體。烷基鹵矽烷系原料氣體為含有烷基之鹵矽烷系原料氣體,亦可謂具有鹵矽烷系原料氣體之一部分鹵素基被取代為烷基之結構的氣體。再者,可認為若加成於Si之烷基為如甲基般特別小之基,則即便為具有烷基之原料氣體,亦可如下述般容易抑制由位阻引起之氣體分子之反應抑制等。此種具有甲基之烷基鹵矽烷系原料氣體包括TCDMDS氣體、DCTMDS氣體及MCPMDS氣體等。
此處,所謂原料氣體,係指氣體狀態之原料,例如藉由 將常溫常壓下為液體狀態之原料進行氣化而獲得之氣體、或常溫常壓下為氣體狀態之原料等。於本說明書中使用「原料」這一詞語之情形時,存在意指「液體狀態之液體原料」之情形、意指「氣體狀態之原料氣體」之情形、或意指上述兩者之情形。於使用如BTCSM、BTCSE、TCDMDS、DCTMDS、MCPMDS般於常溫常壓下為液體狀態之液體原料之情形時,利用氣化器或起泡器等氣化系統將液體原料進行氣化,而以原料氣體(BTCSM氣體、BTCSE氣體、TCDMDS氣體、DCTMDS氣體、MCPMDS氣體)之形式進行供給。
含有作為氮(N)或氧(O)中之任一者之既定元素之改質氣 體、即氮化氣體,例如含有N作為上述既定元素之氣體(含氮氣體)係自氣體供給管232b經由MFC 241b、閥門243b、噴嘴249b、緩衝室237而向處理室201內進行供給。作為自氣體供給管232b供給之氮化氣體,例如可使用氨氣(NH3)。
含有作為N或O中之任一者之既定元素之改質氣體、 即氧化氣體,例如含有O作為上述既定元素之氣體(含氧氣體)係自氣體供給管232d經由MFC 241d、閥門243d、氣體供給管232b、噴嘴249b、緩衝室237而向處理室201內進行供給。作為自氣體供給管232d 供給之氧化氣體,例如可使用氧氣(O2)。
作為惰性氣體、例如氮氣(N2)係自氣體供給管232e、232f 分別經由MFC 241e、241f、閥門243e、243f、氣體供給管232a、232b、噴嘴249a、249b、緩衝室237而向處理室201內進行供給。作為惰性氣體之N2氣體亦作為沖洗氣體發揮作用。自氣體供給管232f供給之作為惰性氣體之N2氣體有時亦作為輔助電漿起火之輔助氣體(起火氣體)而發揮作用。
於自各氣體供給管分別流動如上述之氣體之情形時,供 給1分子中含有至少2個Si、進而含有C及鹵素元素且具有Si-C鍵之原料氣體的原料氣體供給系統主要由氣體供給管232a、232c、MFC 241a、241c、閥門243a、243c所構成。亦可認為原料氣體供給系統中包括噴嘴249a、BTCSM氣體供給源242a、TCDMDS氣體供給源242c。 亦可將原料氣體供給系統稱為原料供給系統。原料氣體供給系統亦可視為分別供給分子結構各不相同之數種原料氣體之數根供給管線(供給系統)的集合體。即,原料氣體供給系統可謂主要由氣體供給管232a、MFC 241a、閥門243a所構成BTCSM氣體供給管線、與主要由氣體供給管232c、MFC 241c、閥門243c所構成之TCDMDS氣體供給管線的集合體。亦可認為各供給管線包括噴嘴249a、或所對應之各原料氣體供給源242a、242c。
又,供給含有作為N或O中之任一者之既定元素之改質氣體的改質氣體供給系統主要由氣體供給管232b、232d、MFC 241b、241d、閥門243b、243d所構成。亦可認為改質氣體供給系統包括噴嘴249b、緩衝室237、NH3氣體供給源242b、O2氣體供給源242d。改質氣體供給系統亦可謂分別供給含有各不相同之既定元素之數種改 質氣體、或分子結構各不相同之數種改質氣體的數根供給管線(供給系統)之集合體。即,改質氣體供給系統可謂主要由氣體供給管232b、MFC 241b、閥門243b所構成之NH3氣體供給管線、與主要由氣體供給管232d、MFC 241d、閥門243d所構成之O2氣體供給管線的集合體。 亦可認為各供給管線包括噴嘴249b或緩衝室237、或所對應之各改質氣體供給源242b、242d。
如此,具備數根供給管線之原料氣體供給系統或改質氣 體供給系統係以分別供給分子結構各不相同之數種原料氣體或改質氣體之方式構成。如上所述,各氣體具有各不相同之分子結構,即具有各不相同之化學結構式。各氣體之組成或成分亦可不同。具有各不相同之分子結構之各氣體的化學性質亦各不相同。因此,如下所述,根據所需之成膜處理而適當選擇原料氣體或改質氣體之種類,藉此可利用1台基板處理裝置而通用地且再現性良好地形成各種組成比、膜質之薄膜。
又,惰性氣體供給系統主要由氣體供給管232e、232f、MFC 241e、241f、閥門243e、243f所構成。亦可認為惰性氣體供給系統包括氣體供給管232a、232b中較與氣體供給管232e、232f之連接部下游之側、噴嘴249a、249b、緩衝室237、N2氣體供給源242e、242f。惰性氣體供給系統亦作為沖洗氣體供給系統發揮功能。又,輔助氣體供給系統由氣體供給管232f、MFC 241f、閥門243f所構成。亦可認為輔助氣體供給系統包括氣體供給管232b中較與氣體供給管232f之連接部下游之側、噴嘴249b、緩衝室237、N2氣體供給源242f。
於緩衝室237內,如圖2所示,自反應管203之下部直至上部沿晶圓200之積層方向配設有包含導電體且具有細長構造之2 根棒狀電極269、270。棒狀電極269、270分別與噴嘴249b平行地設置。棒狀電極269、270分別藉由自上部直至下部被電極保護管275覆蓋而受到保護。棒狀電極269、270中之任一者經由整合器272而連接於高頻電源273,另一者連接於為基準電位之地線。經由整合器272自高頻電源273向棒狀電極269、270間供給(施加)高頻(RF)電力,藉此於棒狀電極269、270間之電漿產生區域224產生電漿。作為電漿產生器(電漿產生部)之電漿源主要由棒狀電極269、270、電極保護管275所構成。亦可認為電漿源包括整合器272、高頻電源273。電漿源係作為使氣體活化(激發)為電漿狀態之活化機構(激發部)發揮功能。
電極保護管275形成可將棒狀電極269、270分別於與 緩衝室237內之環境氣體隔離之狀態下插入緩衝室237內的構造。此處,若電極保護管275之內部之氧濃度與外部氣體(大氣)之氧濃度為相同程度,則分別插入電極保護管275內之棒狀電極269、270因加熱器207之熱而被氧化。因此,係以如下方式構成,即預先向電極保護管275之內部填充N2氣體等惰性氣體,或使用惰性氣體沖洗機構以N2氣體等惰性氣體對電極保護管275之內部進行沖洗,藉此可使電極保護管275之內部之氧濃度降低,而抑制棒狀電極269、270之氧化。
於反應管203設置有將處理室201內之環境氣體排出之 排氣管231。排氣管231經由作為檢測處理室201內之壓力之壓力檢測器(壓力檢測部)的壓力感測器245及作為壓力調整器(壓力調整部)之APC(Auto Pressure Controller,自動壓力控制器)閥門244而連接有作為真空排氣裝置之真空泵246。APC閥門244係以如下方式構成者:於使真空泵246動作之狀態下開關閥,藉此可進行處理室201內之真空排氣及真空排氣停止,進而於使真空泵246動作之狀態下,基於由壓 力感測器245檢測出之壓力資訊而調節閥開度,藉此可調整處理室201內之壓力。排氣系統主要由排氣管231、APC閥門244、壓力感測器245所構成。亦可認為排氣系統包括真空泵246。排氣管231並不限定於設置於反應管203之情形,亦可與噴嘴249a、249b同樣地設置於歧管209。
於歧管209之下方設置有作為可將歧管209之下端開口 氣密封閉之爐口蓋體的密封蓋219。密封蓋219係以自垂直方向下側抵接於歧管209之下端之方式構成。密封蓋219例如由不鏽鋼等金屬構成,且形成為圓盤狀。於密封蓋219之上表面設置有與歧管209之下端抵接之作為密封構件之O形環220b。於密封蓋219之與處理室201相反側,設置有下述之使晶舟217旋轉之旋轉機構267。旋轉機構267之旋轉軸255係貫通密封蓋219而連接於晶舟217。旋轉機構267係以藉由使晶舟217旋轉而使晶圓200旋轉的方式構成。密封蓋219係以藉由垂直設置於反應管203外部之作為升降機構之晶舟升降機115而於垂直方向進行升降的方式構成。晶舟升降機115係以可藉由使密封蓋219升降而將晶舟217向處理室201內外搬入及搬出的方式構成。 即,晶舟升降機115係構成為將晶舟217及被晶舟217支持之晶圓200向處理室201內外進行搬送的搬送裝置(搬送機構)。
作為基板支持具之晶舟217例如由石英或碳化矽等耐熱性材料構成,且其以將數片晶圓200以水平姿勢且中心彼此一致之狀態整齊排列而多級地支持的方式構成。晶舟217之下部係以如下方式構成:將例如由石英或碳化矽等耐熱性材料構成之隔熱板218以水平姿勢多級地支持,從而源自加熱器207之熱難以傳遞至密封蓋219側。但是,亦可於晶舟217之下部不設置隔熱板218,而設置構成為由石英 或碳化矽等耐熱性材料構成之筒狀構件的隔熱筒。
於反應管203內設置有作為溫度檢測器之溫度感測器 263,且以如下方式構成,即基於由溫度感測器263檢測出之溫度資訊而調整對加熱器207之通電狀態,藉此使處理室201內之溫度成為所需之溫度分佈。溫度感測器263與噴嘴249a、249b同樣地構成為L字型,且沿著反應管203之內壁進行設置。
如圖3所示,作為控制部(控制手段)之控制器121係構 成為具備CPU(Central Processing Unit,中央處理單元)121a、RAM(Random Access Memory,隨機存取記憶體)121b、記憶裝置121c、及I/O埠121d之電腦。RAM 121b、記憶裝置121c、I/O埠121d係以經由內部匯流排121e而可與CPU 121a進行資料交換的方式構成。控制器121連接有例如構成為觸控面板等之輸入輸出裝置122。
記憶裝置121c例如由快閃記憶體、HDD(Hard Disk Drive,硬式磁碟機)等所構成。於記憶裝置121c內,以可讀取之方式儲存有控制基板處理裝置之動作之控制程式、或記載有下述之薄膜形成等基板處理之程序或條件等之製程配方(process recipe)等。製程配方係以使控制器121執行下述之薄膜形成步驟等基板處理步驟中之各程序而可獲得既定結果的方式編組而成者,作為程式發揮功能。以下,亦將該製程配方或控制程式等僅統稱為程式。於本說明書中使用程式這一詞語時,存在僅包括單獨製程配方之情形、僅包括單獨控制程式之情形、或包括上述兩者之情形。RAM 121b係構成為將被CPU 121a讀出之程式或資料等暫時保持之記憶區域(工作區)。
I/O埠121d係連接於上述之MFC 241a~241f、閥門243a~243f、壓力感測器245、APC閥門244、真空泵246、溫度感測器263、 加熱器207、整合器272、高頻電源273、旋轉機構267、晶舟升降機115等。
CPU 121a係以如下方式構成:自記憶裝置121c讀出控 制程式並執行,並且按照來自輸入輸出裝置122之操作指令輸入等而自記憶裝置121c讀出製程配方。而且,CPU 121a係以如下方式構成:按照所讀出之製程配方之內容,控制MFC 241a~241f之各種氣體之流量調整動作、閥門243a~243f之開關動作、APC閥門244之開關動作及基於壓力感測器245之APC閥門244之壓力調整動作、真空泵246之啟動及停止、基於溫度感測器263之加熱器207之溫度調整動作、旋轉機構267之晶舟217旋轉及旋轉速度調節動作、晶舟升降機115之晶舟217升降動作、整合器272之阻抗調整動作、及高頻電源273之供電等。
控制器121並不限定於構成為專用電腦之情形,亦可構 成為通用電腦。例如,準備儲存有上述程式之外部記憶裝置(例如,磁帶、軟碟或硬碟等磁碟、CD或DVD等光碟、MO等光磁碟、USB記憶體或記憶卡等半導體記憶體)123,使用該外部記憶裝置123向通用電腦安裝程式等,藉此可構成本實施形態之控制器121。但是,用以向電腦提供程式之手段並不限定於經由外部記憶裝置123進行提供之情形。例如,亦可使用網際網路或專用線路等通信手段不經由外部記憶裝置123而提供程式。記憶裝置121c或外部記憶裝置123係構成為電腦可讀取之記錄媒體。以下,亦將該等僅統稱為記錄媒體。於本說明書中使用記錄媒體這一詞語之情形時,存在僅包含單獨記憶裝置121c之情形、僅包含單獨外部記憶裝置123之情形、或者包含上述兩者之情形。
(2)薄膜形成步驟
繼而,對使用上述基板處理裝置之處理爐202,作為半導體裝置(半導體設備)之製造步驟之一步驟而於基板上形成薄膜(成膜)的順序(sequence)例進行說明。於以下之說明中,構成基板處理裝置之各部之動作係由控制器121控制。
本實施形態中,藉由將包括如下步驟之循環進行既定次數,而於晶圓200上形成含有矽(Si)、碳(C)及既定元素的薄膜:對作為基板之晶圓200供給1分子中含有至少2個矽(Si)、進而含有碳(C)及鹵素元素且具有Si-C鍵之原料氣體的步驟,與對晶圓200供給含有作為氮(N)或氧(O)中之任一者之既定元素之改質氣體的步驟。
此處,所謂循環包括「供給原料氣體之步驟」與「供給改質氣體之步驟」各步驟,係指1個循環內包括1次以上各步驟。因此,於1個循環中可將各步驟進行各1次,或者可將至少任一步驟進行數次。於1個循環中,可將各步驟進行相同次數,亦可將各步驟進行不同次數。循環內之各步驟之實施順序可任意決定。如上所述,藉由適當變更進行各步驟之次數、順序、組合等,可形成膜質或膜組成或成分比率等不同之薄膜。又,所謂「將循環進行既定次數」,係指將該循環進行1次或者反覆數次。但是,該循環較佳為反覆數次。
例如,於本實施形態之循環中,交替進行如下步驟:對晶圓200供給原料氣體之步驟,與對晶圓200供給作為含有上述既定元素之改質氣體的含N之氮化氣體的步驟。
又,於本實施形態中,各步驟係於非電漿環境氣體下進行。
本實施形態中,為了使所形成之薄膜之組成比成為化學計量組成、或者成為與化學計量組成不同之既定組成,而控制含有構成所形成之薄膜之數種元素之數種氣體的供給條件。例如,為了使構成所形成之薄膜之數種元素中之至少1種元素較其他元素相對於化學計量組成變得過量,而控制供給條件。以下,針對一方面控制構成所形成之薄膜之數種元素之比率、即薄膜之組成比一方面進行成膜的順序例進行說明。
以下,針對本實施形態之成膜順序,使用圖4、圖5(a)進行說明。
此處,對下述例進行說明,該例係藉由將交替進行如下步驟之循環進行既定次數(n次),而於晶圓200上形成作為含有Si、C及N之薄膜的碳氮化矽膜(SiCN膜):對晶圓200供給作為原料氣體之BTCSM氣體的步驟(步驟1a),與對晶圓200供給作為氮化氣體之NH3氣體的步驟(步驟2a)。
再者,亦可將該SiCN膜稱為摻雜(添加)有N之碳化矽膜(SiC膜)、或摻雜有C之氮化矽膜(SiN膜)等。
於本說明書中使用「晶圓」這一詞語之情形時,存在意指「晶圓本身」之情形、或意指「晶圓與其表面所形成之既定之層或膜等之積層體(集合體)」的情形,即,包括表面所形成之既定之層或膜等而稱為晶圓之情形。又,於本說明書中使用「晶圓之表面」這一詞語之情形時,存在意指「晶圓本身之表面(露出面)」之情形、或意指「晶圓上所形成之既定之層或膜等之表面、即作為積層體之晶圓之最表面」 的情形。
於本說明書中記載為「對晶圓供給既定氣體」之情形時,存在意指「對晶圓本身之表面(露出面)直接供給既定氣體」之情形、或意指「對晶圓上所形成之層或膜等、即對作為積層體之晶圓之最表面供給既定氣體」之情形。又,於本說明書中記載為「於晶圓上形成既定層(或膜)」之情形時,存在意指「於晶圓本身之表面(露出面)上直接形成既定層(或膜)」之情形、或意指「於晶圓上所形成之層或膜等上、即作為積層體之晶圓之最表面上形成既定層(或膜)」之情形。
於本說明書中使用「基板」這一詞語之情形時,亦與使用「晶圓」這一詞語之情形相同,於該情形時,只要於上述說明中將「晶圓」替換為「基板」進行考慮即可。
(晶圓裝載及晶舟載入)
若將數片晶圓200裝填(晶圓裝載)於晶舟217,則如圖1所示般,支持數片晶圓200之晶舟217被晶舟升降機115提昇而被搬入(晶舟載入)處理室201內。於該狀態下,密封蓋219成為經由O形環220b而將歧管209之下端密封之狀態。
(壓力調整及溫度調整)
以處理室201內成為所需壓力(真空度)之方式藉由真空泵246而進行真空排氣。此時,處理室201內之壓力係利用壓力感測器245進行測定,基於該測得之壓力資訊而反饋控制APC閥門244(壓力調整)。真空泵246至少於對晶圓200之處理結束前之期間維持時常動作之狀態。又,以處理室201內之晶圓200成為所需溫度之方式藉由加熱器 207進行加熱。此時,以處理室201內成為所需溫度分佈之方式,基於溫度感測器263所檢測出之溫度資訊而反饋控制向加熱器207之通電狀態(溫度調整)。利用加熱器207對處理室201內之加熱至少於對晶圓200之處理結束前之期間連續地進行。但是,如下所述,於室溫下對晶圓200進行處理之情形時,亦可利用加熱器207對處理室201內進行加熱。繼而,開始利用旋轉機構267使晶舟217及晶圓200旋轉。利用旋轉機構267使晶舟217及晶圓200旋轉係至少於對晶圓200之處理結束前之期間連續地進行。
(SiCN膜形成步驟)
其後,依序進行下述2個步驟,即步驟1a、2a。
[步驟1a] (BTCSM氣體供給)
打開閥門243a,使BTCSM氣體向氣體供給管232a內流動。BTCSM氣體係藉由MFC 241a而進行流量調整,自氣體供給孔250a被供給至處理室201內,並自排氣管231進行排氣。此時,對晶圓200供給BTCSM氣體。與此同時,打開閥門243e,使N2氣體等惰性氣體向氣體供給管232e內流動。N2氣體係藉由MFC 241e而進行流量調整,與BTCSM氣體一起被供給至處理室201內,並自排氣管231進行排氣。
又,為了防止BTCSM氣體向噴嘴249b內或緩衝室237內侵入,而打開閥門243f使N2氣體向氣體供給管232f內流動。N2氣體係經由氣體供給管232b、噴嘴249b、緩衝室237而向處理室201內供給,並自排氣管231進行排氣。
此時,適當調整APC閥門244,而將處理室201內之壓 力設為例如1~13330Pa、較佳為133~2666Pa之範圍內之壓力。利用MFC 241a進行控制之BTCSM氣體之供給流量係設為例如1~2000sccm、較佳為10~1000sccm之範圍內之流量。利用MFC 241e、241f進行控制之N2氣體之供給流量分別設為例如100~10000sccm之範圍內之流量。對晶圓200進行BTCSM氣體供給之時間、即氣體供給時間(照射時間)係設為例如1~100秒、較佳為5~60秒之範圍內之時間。
此時,加熱器207之溫度係設定為使晶圓200之溫度成 為例如400℃以上且700℃以下、較佳為500℃以上且700℃以下之範圍內之溫度的溫度。若晶圓200之溫度未滿400℃,則有BTCSM難以化學吸附於晶圓200上而無法獲得實用之成膜速率的情況。藉由將晶圓200之溫度設為400℃以上,可消除上述情況。藉由將晶圓200之溫度設為500℃以上,可使BTCSM更充分地吸附於晶圓200上,而可獲得更充分之成膜速率。但是,若晶圓200之溫度超過700℃,則CVD反應變強(氣相反應成為主導)。因此,膜厚均勻性容易變差,其控制變困難。藉由將晶圓200之溫度設為700℃以下,而可抑制膜厚均勻性變差,且其控制變容易。因此,晶圓200之溫度可設為400℃以上且700℃以下、較佳為500℃以上且700℃以下之範圍內之溫度。
藉由在上述條件下對晶圓200供給BTCSM氣體,而於 晶圓200(表面之基底膜)上形成作為第1層之例如未滿1層原子層至數層原子層程度之厚度的含有C或Cl之含矽層(含Si層)。含有C及Cl之含Si層可為含有C及Cl之矽層(Si層),可為BTCSM氣體之吸附層,亦可包含上述兩者。
所謂含有C及Cl之Si層,係除由Si所構成且含有C 及Cl之連續層外亦包含不連續之層、或該等重疊而成之含有C及Cl之矽薄膜(Si薄膜)的總稱。亦有將由Si所構成且含有C及Cl之連續層稱為含有C及Cl之Si薄膜的情形。關於構成含有C及Cl之Si層之Si,除與C或Cl之鍵未被完全切斷者外,亦包括與C或Cl之鍵被完全切斷者。
BTCSM氣體之吸附層除BTCSM氣體之氣體分子之連續吸附層外,亦包括不連續之吸附層。即,BTCSM氣體之吸附層包括由BTCSM分子構成之1層分子層或未滿1層分子層厚度之吸附層。構成BTCSM氣體之吸附層之BTCSM((SiCl3)2CH2)分子不僅包括圖10(a)中表示化學結構式者,亦包括一部分Si與C之鍵被切斷者、或一部分Si與Cl之鍵被切斷者。即,BTCSM氣體之吸附層包括BTCSM分子之化學吸附層、或BTCSM分子之物理吸附層。
此處,所謂未滿1層原子層之厚度之層,意指未連續形成之原子層,所謂1層原子層之厚度之層,意指連續形成之原子層。又,所謂未滿1層分子層之厚度之層,意指未連續形成之分子層,所謂1層分子層之厚度之層,意指連續形成之分子層。再者,含有C及Cl之含Si層可包括含有C及Cl之Si層與BTCSM氣體之吸附層兩者,但如上述般,對於含有C及Cl之含Si層係設為使用「1層原子層」、「數層原子層」等表達。
若晶圓200上所形成之作為第1層之含有C及Cl之含Si層的厚度超過數層原子層,則於下述之步驟2a中氮化等改質作用不會達到第1層整體。又,晶圓200上可形成之第1層之厚度之最小值未滿1層原子層。因此,第1層之厚度較佳為設為未滿1層原子層至數層原子層程度。藉由將第1層之厚度設為1層原子層以下、即1層 原子層或未滿1層原子層,而可相對提高下述之步驟2a中氮化反應之作用,可縮短步驟2a中之氮化反應所需之時間。亦可縮短步驟1a中第1層之形成所需之時間。結果,可縮短每1個循環之處理時間,而亦可縮短合計之處理時間。即,亦可提高成膜速率。藉由將第1層之厚度設為1層原子層以下,亦可提高膜厚均勻性之控制性。
於BTCSM氣體自我分解(熱分解)之條件下,即發生 BTCSM之熱分解反應之條件下,藉由於晶圓200上堆積Si而形成含有C及Cl之Si層。於BTCSM氣體未自我分解(熱分解)之條件下,即未發生BTCSM之熱分解反應之條件下,藉由BTCSM氣體吸附於晶圓200上而形成BTCSM氣體之吸附層。與在晶圓200上形成BTCSM氣體之吸附層相比,於晶圓200上形成含有C及Cl之Si層時可使成膜速率提高,較佳。
如上所述,可藉由使用具有Si-C鍵之BTCSM氣體作為 原料氣體,而將C摻入第1層中。BTCSM氣體係處於1分子中含有2個Si、且同一氣體分子中所含之Si彼此相互接近的狀態。因此,可認為容易獲得Si彼此相互接近之緻密之第1層。BTCSM氣體所具有之亞甲基具有被挾入Si-Si鍵之結構,BTCSM氣體之反應時難以發生由位阻引起之反應抑制。因此,可迅速地形成更牢固之第1層。同樣地,於下述之利用NH3氣體之氮化時,亦可謀求反應之迅速化。
(殘留氣體去除)
於晶圓200上形成有作為第1層之含有C及Cl之含Si層後,關閉閥門243a而停止供給BTCSM氣體。此時,排氣管231之APC閥門244設為保持打開之狀態,藉由真空泵246將處理室201內進行真空排 氣,而將殘留於處理室201內之未反應或幫助形成第1層後之BTCSM氣體自處理室201內排除。又,閥門243e、243f設為保持打開之狀態,維持作為惰性氣體之N2氣體向處理室201內之供給。N2氣體係作為沖洗氣體發揮作用,藉此,可提高將殘留於處理室201內之未反應或幫助形成第1層後之BTCSM氣體自處理室201內排除的效果。
此時,亦可不將殘留於處理室201內之氣體完全排除, 且亦可不將處理室201內完全沖洗。若殘留於處理室201內之氣體為微量,則於其後進行之步驟2a中不會產生不良影響。向處理室201內供給之N2氣體之流量亦無需設為大流量,例如供給與反應管203(處理室201)之容積相同程度之量,藉此可進行於步驟2a中不產生不良影響之程度之沖洗。如此,不將處理室201內完全沖洗,藉此可縮短沖洗時間,使產出量提高。亦可將N2氣體之消耗抑制為所需最小限度。
作為1分子中含有至少2個Si、進而含有C及鹵素元素 且具有Si-C鍵之原料氣體,除BTCSM氣體外,亦可使用BTCSE氣體、TCDMDS氣體、DCTMDS氣體、MCPMDS氣體等。作為惰性氣體,除N2氣體外,亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等稀有氣體。
[步驟2a] (NH3氣體供給)
於步驟1a結束並將處理室201內之殘留氣體去除後,打開閥門243b,使NH3氣體向氣體供給管232b流動。NH3氣體係藉由MFC 241b而進行流量調整,自氣體供給孔250b被供給至緩衝室237內,進而自氣體供給孔250c被供給至處理室201內,並自排氣管231進行排氣。 此時,於非電漿環境氣體下對晶圓200供給NH3氣體。與此同時,打開閥門243f,使作為惰性氣體之N2氣體向氣體供給管232f內流動。N2氣體係藉由MFC 241f而進行流量調整,與NH3氣體一起被供給至處理室201內,並自排氣管231進行排氣。
又,為了防止NH3氣體向噴嘴249a內侵入,而打開閥門243e使N2氣體向氣體供給管232e內流動。N2氣體係經由氣體供給管232a、噴嘴249a而向處理室201內進行供給,並自排氣管231進行排氣。
此時,適當調整APC閥門244,而將處理室201內之壓力設為例如1~13330Pa、較佳為10~1333Pa之範圍內之壓力,例如10Pa。具體而言,可使APC閥門244例如全開(full open),而使處理室201內之壓力成為對應此時之各氣體之供給流量的極限壓力。利用MFC 241b進行控制之NH3氣體之供給流量係設為例如1000~10000sccm、較佳為1000~9000sccm之範圍內之流量。利用MFC 241e、241f進行控制之N2氣體之供給流量係分別設為例如100~10000sccm之範圍內之流量。將NH3氣體對晶圓200進行供給之時間、即氣體供給時間(照射時間)係設為例如1~100秒、較佳為5~60秒之範圍內之時間。
此時,加熱器207之溫度係以晶圓200之溫度成為與步驟1a中供給BTCSM氣體時相同之溫度區,即例如400℃以上且700℃以下、較佳為500℃以上且700℃以下之範圍內之溫度的方式進行設定。若晶圓200之溫度未滿400℃,則有如下情況:晶圓200上所形成之第1層(含有C及Cl之含Si層)與NH3氣體變得難以反應,而無法獲得實用之成膜速率。藉由將晶圓200之溫度設為400℃以上,而可消除上述情況。藉由將晶圓200之溫度設為500℃以上,而可更確實地使第 1層與NH3氣體進行反應,而可獲得更充分之成膜速率。因此,於步驟2a中,晶圓200之溫度亦可設為與步驟1a中供給BTCSM氣體時相同之溫度區。
供給至處理室201內之NH3氣體因熱而被活化,並自排氣管231進行排氣。此時,對晶圓200供給因熱而被活化之NH3氣體。即,處理室201內流動之氣體為經熱活化之NH3氣體,處理室201內並未流動BTCSM氣體。因此,NH3氣體不會發生氣相反應,於經活化之狀態下對晶圓200進行供給,與於步驟1a中形成於晶圓200上之第1層之至少一部分進行反應。藉此,第1層於非電漿下被熱氮化,而向含有Si、C及N之第2層、即SiCN層變化(改質處理)。
(殘留氣體去除)
其後,關閉閥門243b而停止供給NH3氣體。此時,排氣管231之APC閥門244係設為保持打開之狀態,藉由真空泵246將處理室201內進行真空排氣,而將殘留於處理室201內之未反應或幫助反應後之NH3氣體或反應副產物自處理室201內排除。又,閥門243e、243f係設為保持打開之狀態,維持作為惰性氣體之N2氣體向處理室201內之供給。N2氣體係作為沖洗氣體發揮作用,藉此,可提高將殘留於處理室201內之未反應或幫助形成第2層後之NH3氣體或反應副產物自處理室201內排除的效果。
此時,亦可不將殘留於處理室201內之氣體完全排除,且亦可不將處理室201內完全沖洗。若殘留於處理室201內之氣體為微量,則於其後進行之步驟1a中不會產生不良影響。向處理室201內進行供給之N2氣體之流量亦無需設為大流量,例如供給與反應管 203(處理室201)之容積相同程度之量,藉此可進行於步驟1a中不產生不良影響之程度之沖洗。如上所述,不將處理室201內完全沖洗,藉此可縮短沖洗時間,而可提高產出量。亦可將N2氣體之消耗抑制為所需最小限度。
作為氮化氣體,除NH3氣體外,亦可使用二亞胺(N2H2)氣體、肼(N2H4)氣體、及N3H8氣體等無機系氮化氣體、或以三乙胺((C2H5)3N,簡稱:TEA)氣體為首之二乙胺((C2H5)2NH,簡稱:DEA)氣體、單乙胺((C2H5)NH2,簡稱:MEA)氣體、三甲胺((CH3)3N,簡稱:TMA)氣體、及甲胺((CH3)NH2,簡稱:MMA)氣體等胺系氣體,即有機系氮化氣體。作為惰性氣體,除N2氣體外,亦可使用上述所列舉之各種稀有氣體。
(實施既定次數)
將上述之步驟1a、2a設為1個循環,將該循環進行1次以上,即進行既定次數(n次),藉此可於晶圓200上使既定組成及既定膜厚之SiCN膜成膜。上述之循環較佳為反覆數次。即,較佳為使每1個循環所形成之SiCN層之厚度小於所需之膜厚,而數次反覆上述之循環直至成為所需之膜厚。
此時,對各步驟中之處理室201內之壓力或氣體供給時間或氣體供給量等處理條件進行控制,藉此,可對SiCN層中之各元素成分、即Si成分、C成分及N成分之比例、即Si濃度、C濃度及N濃度進行微調整,而可更細緻地控制SiCN膜之組成比。
具體而言,例如,藉由調整供給NH3氣體之步驟之實施時間相對於供給BTCSM氣體之步驟與供給NH3氣體之步驟之合計實 施時間的比,可控制SiCN膜中之N濃度或C濃度。又,例如,藉由調整於供給NH3氣體之步驟中所供給之NH3氣體的供給量,例如NH3氣體之供給流量或NH3氣體之供給時間或上述兩者,可控制SiCN膜中之N濃度或C濃度。又,如下所述,亦可使用2種以上之不同原料氣體,例如相對於1次NH3氣體供給步驟進行2次以上之原料氣體供給步驟,而使SiCN膜中之C濃度進一步增加。藉此,可使SiCN膜中之各成分之濃度、即Si濃度或C濃度或N濃度等相對變化,或可使SiCN膜中之C或N等各成分之絕對量變化。
於數次進行循環之情形時,於至少第2個循環以後之各 步驟中,記載為「對晶圓200供給既定氣體」之部分意指「對晶圓200上所形成之層、即作為積層體之晶圓200之最表面供給既定氣體」,記載為「於晶圓200上形成既定層」之部分意指「於晶圓200上所形成之層上、即作為積層體之晶圓200之最表面上形成既定層」。此點係如上所述。又,此點於下述之變形例或其他實施形態之說明中亦相同。
(沖洗及大氣壓恢復)
形成既定組成及既定膜厚之SiCN膜之成膜處理完成後,打開閥門243e、243f,分別自氣體供給管232e、232f將作為惰性氣體之N2氣體向處理室201內進行供給,並自排氣管231進行排氣。N2氣體係作為沖洗氣體發揮作用,藉此,利用惰性氣體將處理室201內進行沖洗,而將殘留於處理室201內之氣體或反應副產物自處理室201內去除(沖洗)。其後,將處理室201內之環境氣體置換為惰性氣體(惰性氣體置換),而使處理室201內之壓力恢復至常壓(大氣壓恢復)。
(晶舟卸下及晶圓卸載)
其後,藉由晶舟升降機115使密封蓋219下降,而將歧管209之下端口打開,並且將處理完之晶圓200於被晶舟217支持之狀態下自歧管209之下端向反應管203之外部搬出(晶舟卸下)。其後,將處理完之晶圓200自晶舟217取出(晶圓卸載)。
(3)本實施形態之效果
根據本實施形態,起到以下所示之1個或數個效果。
(a)根據本實施形態之成膜順序,於SiCN膜形成步驟之步驟1a中,對晶圓200供給BTCSM氣體。如上所述,藉由使用1分子中含有至少2個Si、進而含有C及鹵素元素且具有Si-C鍵之原料氣體,可形成高濃度地摻有C之SiCN層或SiCN膜。高濃度地含有C之SiCN膜具有較高之蝕刻耐受性或低介電係數。
伴隨著電晶體之微細化,針對例如作為閘極電極之周邊構造之側壁分隔件(SWS)、或用於各種加工之硬質遮罩所使用之薄膜,需要降低氫氟酸(HF水溶液)對應之濕式蝕刻率或膜之介電係數。藉由於氮化矽膜(Si3N4膜,以後亦稱為SiN膜)等中添加C,可獲得高蝕刻耐受性、低介電係數之薄膜,但難以使膜中高濃度地含有C、或高精度地控制膜中之C濃度。
於本實施形態中,供給1分子中含有2個Si、進而含有亞甲基(C)及Cl且具有Si-C鍵之BTCSM氣體。藉此,可於在晶圓200上形成第1層作為初期層之步驟中使C摻入第1層中,而可形成高濃度地含有C之SiCN膜。又,可精度良好地控制SiCN膜中之C濃度。因此,例如可獲得蝕刻耐受性較高、介電係數較低之SiCN膜。
(b)根據本實施形態之成膜順序,於步驟1a中,對晶圓 200供給如具有上述分子結構之BTCSM氣體的原料氣體,藉此可形成緻密之SiCN膜。
可認為,於如具有上述分子結構之BTCSM氣體之原料 氣體吸附於晶圓200(表面之基底膜)上時,原料氣體之至少同一分子中所含有之Si彼此保持相互接近之狀態而進行吸附。可認為,即便於由於原料氣體之自我分解而Si於晶圓200上進行堆積之情形時,各Si亦保持相互接近之狀態而易被堆積。因此,可認為,於使用具有上述分子結構之原料氣體之情形時,與例如使用1分子中之Si數量較少等不具有上述分子結構之原料氣體的情形相比,容易形成Si彼此相互接近之第1層。因此,最終獲得之SiCN膜亦成為Si彼此相互接近之緻密之膜。此種緻密之SiCN膜之蝕刻耐受性進一步提高。
(c)根據本實施形態之成膜順序,於步驟1a中,對晶圓 200供給如具有上述分子結構之BTCSM氣體之原料氣體,藉此容易抑制於原料氣體之反應時由位阻引起之反應抑制,而可迅速地形成更牢固之SiCN膜。
於BTCSM氣體等中,以介於Si-Si鍵間之方式向氣體分 子中導入C,從而該含C基、即亞甲基難以引起抑制氣體分子中之Si之反應的位阻。即,可認為,於BTCSM氣體吸附於晶圓200(表面之基底膜)上時,BTCSM氣體分子中之亞甲基難以成為障礙,而BTCSM氣體分子中之Si與晶圓200(表面之基底膜)容易直接鍵結。藉此,容易形成更牢固之第1層,且可提高成膜速率。又,於藉由NH3氣體而將第1層氮化時,即便於第1層中殘留有未分解、或僅一部分分解之亞甲基,該等亦難以妨礙NH3氣體中之N與第1層中之Si進行反應而鍵 結。藉此,利用NH3氣體之氮化迅速地進行,就該方面而言亦可使成膜速率提高。又,可認為,即便如具有伸乙基之BTCSE氣體般增加原料氣體中之C數,位阻之影響仍較小。
另一方面,可認為,於TCDMDS氣體等中雖具有Si上 加成有烷基之分子結構,但若該烷基如甲基等般足夠小,則由位阻引起之反應抑制之影響極少。即,例如TCDMDS氣體或DCTMDS氣體或MCPMDS氣體等所具有之甲基僅具有1個C,為烷基中最小之取代基。例如,將氣體分子中既定之Si具有甲基之情形、或具有體積大於甲基之乙基的情形進行比較。可認為,於該情形時,若Si所具有之該等基為相同數量,則具有甲基之Si所受到之位阻小於具有乙基之Si所受到之位阻,而難以發生氣體分子之反應抑制。
(d)根據本實施形態之成膜順序,於步驟2a中,對晶圓 200供給NH3氣體。藉此,可容易地形成於SiC膜中添加N而成之SiCN膜。
習知技術中,SiCN膜例如可利用如下方法形成。即, 除使用六氯二矽烷(Si2Cl6,簡稱:HCDS)氣體等形成含Si層之步驟、或利用氮化氣體將其進行氮化而製成氮化矽層(SiN層)之步驟外,亦設置例如將TEA氣體或丙烯(C3H6)氣體等於非電漿下、或激發為電漿狀態而對晶圓200進行供給的步驟。藉此,可將C摻入含Si層或SiN層中,結果可形成SiCN膜。
另一方面,於本實施形態中,藉由使用BTCSM氣體作 為原料氣體,可於在晶圓200上形成第1層作為初期層的步驟中將C摻入第1層中。朝向此處,藉由利用NH3氣體等添加N,可容易地形成SiCN膜。即,例如可不使用如上述習知技術之3元系或4元系等複 雜氣體系統而形成SiCN膜。因此,可將成膜製程簡化,又,成膜製程之構築變容易,可降低製造成本。
(e)根據本實施形態之成膜順序,可藉由調整供給NH3氣體之步驟之實施時間相對於供給BTCSM氣體之步驟與供給NH3氣體之步驟之合計實施時間的比,而控制SiCN膜中之N濃度或C濃度。即,若提高NH3氣體供給步驟之實施時間相對於兩步驟之合計實施時間的比,則可提高SiCN膜中之N濃度,又,藉此,C容易自膜中脫離而可使C濃度降低。又,若降低NH3氣體供給步驟之實施時間相對於兩步驟之合計實施時間的比,則可使SiCN膜中之N濃度降低,又,藉此,C難以自膜中脫離而可抑制C濃度降低。如上所述,藉由調整NH3氣體供給步驟,可改變膜中之各成分之濃度、即C或N之絕對量。又,亦可藉由使膜中之N濃度提高或降低,而使膜中之其他成分、即Si濃度或C濃度等相對變化。
(4)本實施形態之變形例
繼而,針對本實施形態之變形例1~3,使用圖5(b)~(d)進行說明。
已對在圖5(a)所示之成膜順序之循環中交替進行各氣體之供給的例進行說明。對在圖5(b)~(d)所示之變形例1~3之成膜順序之循環中於實施過供給改質氣體之步驟之狀態下將供給原料氣體之步驟進行既定次數的例進行說明。
於圖5(b)所示之變形例1中,將於實施過供給作為改質氣體之NH3氣體之步驟之狀態下將供給作為原料氣體之BTCSM氣體之步驟進行1次的循環進行既定次數(n次)。即,於變形例1中,同時進行BTCSM氣體供給步驟與NH3氣體供給步驟,其後,進行殘留氣 體去除步驟,將該等反覆數次。此時,較佳為如圖5(b)所示般,各循環中較BTCSM氣體之供給步驟先開始NH3氣體之供給步驟,且較BTCSM氣體之供給步驟後結束NH3氣體之供給步驟。於變形例1中,成為如上所述般間歇性地供給BTCSM氣體與NH3氣體兩者的情況。 再者,亦可將於實施過供給NH3氣體之步驟之狀態下將供給BTCSM氣體之步驟反覆數次的循環進行既定次數(n次)。
於圖5(c)所示之變形例2中,將於實施過供給NH3氣體 之步驟之狀態下將供給BTCSM氣體之步驟進行既定次數的循環進行例如1次。即,於變形例2中,於連續供給NH3氣體之期間,將供給BTCSM氣體之步驟反覆數次。於上述期間,不進行殘留氣體去除步驟。此時,較佳為如圖5(c)所示般,於開始供給NH3氣體後開始數次反覆中最初之BTCSM氣體之供給步驟,且於停止供給NH3氣體前結束最後之BTCSM氣體之供給步驟。於變形例2中,成為如上所述般間歇性地供給BTCSM氣體但連續地供給NH3氣體的情況。再者,亦可將變形例2中之循環反覆數次。
於圖5(d)所示之變形例3中,將於實施過供給NH3氣體 之步驟之狀態下將供給BTCSM氣體之步驟進行1次的循環進行例如1次。即,於變形例3中,將同時進行BTCSM氣體供給與NH3氣體供給的步驟進行1次。此時,較佳為如圖5(d)所示,較BTCSM氣體之供給先開始NH3氣體之供給,且較BTCSM氣體之供給後停止NH3氣體之供給。於變形例3中,成為如上所述般連續地供給BTCSM氣體與NH3氣體兩者的情況。
於變形例1~3中之任一例中,適當調整APC閥門244, 而將處理室201內之壓力設為例如1~500Pa、較佳為10~500Pa之範 圍內之壓力。利用MFC 241a進行控制之BTCSM氣體之供給流量係設為例如1~2000sccm、較佳為100~1000sccm之範圍內之流量。利用MFC 241b進行控制之NH3氣體之供給流量係設為BTCSM氣體之供給流量的2~10倍之範圍內之流量。利用MFC 241e、241f進行控制之N2氣體之供給流量係分別設為例如100~10000sccm之範圍內的流量。又,於至少變形例1、2中,每1次對晶圓200供給BTCSM氣體之時間,即氣體供給時間(照射時間)係設為例如1~100秒、較佳為5~60秒之範圍內之時間。
又,於變形例1~3中之任一例中,加熱器207之溫度 係設定為晶圓200之溫度為發生BTCSM氣體與NH3氣體之氣相反應的溫度。即,設為使晶圓200之溫度成為例如500℃以上且850℃以下、較佳為700℃以上且850℃以下之範圍內之溫度的溫度。若晶圓200之溫度未滿500℃,則基本上不發生BTCSM氣體與NH3氣體之反應而無法成膜。藉由將晶圓200之溫度設為500℃以上,可消除上述情況,而可成膜。但是,於晶圓200之溫度未滿700℃、例如為680℃以下時,仍然有成為難以發生BTCSM氣體與NH3氣體之反應之狀態的情形。 藉由將晶圓200之溫度設為700℃以上,可產生更合適之氣相反應,而更確實地使BTCSM氣體與NH3氣體進行反應。又,若晶圓200之溫度超過850℃,則氣相反應過度發生。因此,膜厚均勻性容易變差,且其控制變困難。藉由將晶圓200之溫度設為850℃以下,可抑制膜厚均勻性變差,且可進行控制。因此,晶圓200之溫度可設為500℃以上且850℃以下、較佳為700℃以上且850℃以下之範圍內之溫度。
於上述條件下將BTCSM氣體與NH3氣體一起對晶圓200進行供給,藉此形成含有C及Cl之含Si層(第1層),並同時進行 或連續發生使其於非電漿下熱氮化而向SiCN層(第2層)進行變化的反應。此時,例如藉由如上述般調整晶圓200之溫度,可控制CVD反應之程度,而使利用BTCSM氣體與NH3氣體之氣相反應的上述成膜反應適當地進行。
又,藉由如變形例1般適當設置殘留氣體去除步驟,而 將BTCSM氣體等之分解物、或者由第1層或第2層之形成而產生之反應副產物自處理室201內適當排除。藉此,通過SiCN膜形成步驟整體,而容易對晶圓200供給新鮮氣體,又,處理室201內容易保持反應初期之狀態。因此,容易獲得於SiCN膜之厚度方向上更均質之膜。 如變形例2般,間歇性地供給BTCSM氣體,至少設置未供給BTCSM氣體之期間,藉此亦可獲得參照上述之既定效果。又,如變形例1、2般,間歇性地供給BTCSM氣體或NH3氣體,藉此可抑制BTCSM氣體或NH3氣體之消耗而降低製造成本。
再者,於變形例1~3中之任一例中,若同時開始BTCSM 氣體之供給與NH3氣體之供給,則皆有於SiCN層之形成初期僅先行形成並未充分摻入N而利用BTCSM氣體之第1層的情況。又,若同時停止BTCSM氣體之供給與NH3氣體之供給,則有於SiCN層之形成末期亦僅繼續形成並未充分摻入N而利用BTCSM氣體之第1層的情況。因此,有SiCN層或SiCN膜之厚度方向上N濃度不均之情況。如上所述,較BTCSM氣體之供給先開始NH3氣體之供給,且較BTCSM氣體之供給後停止NH3氣體之供給,藉此可抑制於SiCN層之形成初期及形成末期中SiCN層之N濃度不均化。因此,可獲得厚度方向之組成更均勻之SiCN層及SiCN膜。
再者,於變形例1~3中之任一例中,可藉由調整於供 給NH3氣體之步驟中所供給之NH3氣體供給量,而控制SiCN膜中之N濃度。
更具體而言,調整NH3氣體之流量相對於供給NH3氣體 步驟中所供給之NH3氣體與供給BTCSM氣體步驟中所供給之BTCSM氣體之合計流量的比。該流量比FRATIO係使用向處理室201內供給之NH3氣體之流量FN、與向處理室201內供給之BTCSM氣體之流量FT以FN/(FN+FT)求出。若使流量比FRATIO變高,則可提高SiCN膜中之N濃度,若使流量比FRATIO變低,則可降低SiCN膜中之N濃度。
調整該流量比FRATIO亦為調整供給NH3氣體步驟中所供 給之NH3氣體於處理室201內之分壓。關於處理室201內之上述NH3氣體之分壓VN,係使用向處理室201內供給之NH3氣體之流量FN、向處理室201內供給之BTCSM氣體之流量FT、向處理室201內供給之其他惰性氣體等之流量FI、及處理室201內之全壓V以VN=[FN/(FN+FT+FI)]×V之式求出。如上所述,此處必需亦將惰性氣體等其他氣體考慮在內。但是,依舊相對地改變NH3氣體與BTCSM氣體之分壓之比,且可與NH3氣體之供給量或上述之流量比FRATIO之調整同樣地理解NH3氣體之分壓VN之調整。即,可藉由增加NH3氣體之分壓VN而提高SiCN膜中之N濃度,可藉由降低NH3氣體之分壓VN而降低SiCN膜中之N濃度。
再者,該等之調整係相對而言,只要使任一種氣體相對 於任意另一種氣體之供給量、流量比、分壓發生變化即可。其中,根據本發明者等人可知:例如,將BTCSM氣體之供給量等設為固定而調整NH3氣體對於該等之供給量等時,容易控制SiCN膜中之N濃度,又,可使SiCN膜中之N濃度大幅變化。
又,於變形例1、2中,藉由調整供給BTCSM氣體之步 驟之實施時間或實施次數,可控制SiCN膜中之C濃度。
更具體而言,於變形例1、2中,調整供給BTCSM氣體 步驟之實施時間相對於供給BTCSM氣體步驟與供給NH3氣體步驟之合計實施時間的比。該實施時間之比TRATIO係使用供給BTCSM氣體步驟之實施時間TT、與供給NH3氣體步驟之實施時間TN以TT/(TT+TN)求出。若使實施時間之比TRATIO變高,則可提高SiCN膜中之C濃度,若使實施時間之比TRATIO變低,則可降低SiCN膜中之C濃度。
尤其是於變形例2中,調整每1個循環中實施供給 BTCSM氣體步驟之次數,藉此可調整上述實施時間之比。即,於變形例2中,若增加每1個循環中BTCSM氣體供給步驟之次數,則可提高SiCN膜中之C濃度,若減少每1個循環中BTCSM氣體供給步驟之次數,則可降低SiCN膜中之C濃度。
藉由如上述般控制SiCN膜中之N濃度或C濃度,亦可 使膜中之其他成分之濃度相對變化,或使SiCN膜中之C或N等各成分之絕對量變化。即,藉由上述中之至少任一者,可使SiCN膜中之Si濃度或C濃度或N濃度等相對變化,或使C或N等之絕對量變化。 但是,與調整既定氣體之供給量、流量比、分壓相比,調整既定氣體之供給時間或供給次數之情況容易控制SiCN膜中之各成分之濃度。 又,控制SiCN膜中之各成分之上述方法亦可應用於上述之實施形態。
預先準備數個將上述中NH3氣體之供給量或流量、BTCSM氣體供給步驟之實施時間或實施次數等設定為不同數值的製程配方(記載有處理程序或處理條件之程式),藉此容易調整NH3氣體之供給量等。操作人員(operator)只要根據所需之膜組成等適當選擇合 適之製程配方而執行成膜處理即可。因此,可利用1台基板處理裝置而通用地且再現性良好地形成各種組成比、膜質之薄膜。又,可降低操作人員之操作負擔(處理程序或處理條件之輸入負擔等),而可避免操作失誤並且迅速地開始基板處理。
<第2實施形態>
繼而,對本發明之第2實施形態進行說明。
(1)薄膜形成步驟
於上述之實施形態中,已對藉由供給於非電漿下經熱活化之NH3氣體而將第1層氮化之例進行說明。於本實施形態中,於供給活化為電漿狀態之NH3氣體方面與上述實施形態不同。於本實施形態中,亦與上述實施形態同樣地使用圖1、圖2所示之基板處理裝置。於以下之說明中,構成基板處理裝置之各部之動作係由控制器121控制。
如圖6(a)所示,於本實施形態之循環中,交替進行如下步驟:對晶圓200供給作為原料氣體之BTCSM氣體之步驟,與對晶圓200供給作為改質氣體之NH3氣體之步驟,且於供給NH3氣體之步驟中,對晶圓200供給被激發為電漿狀態之NH3氣體。
若將上述實施形態中之晶圓200之溫度設為中間程度之溫度(中溫),則於本實施形態中,將NH3氣體激發為電漿狀態而進行供給,藉此,即便將晶圓200之溫度設為更低溫之溫度區域,亦可形成SiCN膜。以下,對本實施形態之SiCN膜形成步驟進行說明。
(SiCN膜形成步驟)
於SiCN膜形成步驟中,依序執行下述之2個步驟、即步驟1b、2b。
[步驟1b] (BTCSM氣體供給)
以與上述實施形態同樣之程序,對晶圓200供給BTCSM氣體。此時,以與上述實施形態同樣之程序,自氣體供給管232e流出N2氣體等惰性氣體。供給各氣體時,與上述實施形態同樣地,適當進行N2氣體供給,防止氣體朝向此時不使用之噴嘴249b內或緩衝室237內侵入。
處理室201內之壓力、BTCSM氣體或N2氣體之供給流量、供給時間等處理條件可設為與例如圖5(a)所示之上述實施形態中供給BTCSM氣體時之處理條件同樣之範圍內之處理條件。
加熱器207之溫度可設定為使晶圓200之溫度低於上述實施形態之晶圓200之溫度者。即,將加熱器207之溫度以如下方式進行設定:晶圓200之溫度成為例如室溫以上且450℃以下、較佳為150℃以上且350℃以下之範圍內之溫度。若晶圓200之溫度未滿400℃,則有BTCSM難以化學吸附於晶圓200上而無法獲得實用之成膜速率的情況。但是,若晶圓200之溫度為室溫以上,則可使BTCSM至少物理吸附於晶圓200上,並藉由如本實施形態般於後續步驟中供給製成電漿狀態之NH3氣體,而可獲得實用之成膜速率。再者,若晶圓200之溫度未滿室溫,則有連BTCSM之物理吸附亦變得困難之情況。 藉由將晶圓200之溫度設為室溫以上、進而為150℃以上,而可使BTCSM至少物理吸附於晶圓200上,而可獲得充分之成膜速率。又,藉由將晶圓200之溫度設為450℃以下、進而為350℃以下,而可減少對晶圓200施加之熱量,減輕晶圓200所受到之熱負荷。若晶圓200之溫度超過450℃,則控制晶圓200所遭受之熱歷程之優點減弱。因此,晶圓200之溫度可設為室溫以上且450℃以下、較佳為150℃以上且350℃以下之範圍內之溫度。
於上述條件下對晶圓200供給BTCSM氣體,藉此於晶 圓200(表面之基底膜)上形成例如未滿1層原子層至數層原子層程度之厚度之含有C及Cl的含Si層作為第1層。含有C及Cl之含Si層可為含有C及Cl之Si層,可為BTCSM氣體之吸附層,亦可包括上述兩者。此處,可認為主要形成有由熱分解不充分之物理吸附形成之BTCSM氣體之吸附層、即BTCSM氣體之物理吸附層作為第1層。即便於該情形時,其後亦可於供給NH3氣體之步驟中將NH3氣體激發為電漿狀態而對晶圓200進行供給,藉此利用氮化力提高之NH3氣體將第1層氮化而使之朝向SiCN層進行變化。
(殘留氣體去除)
其後,以與上述實施形態同樣之程序,停止供給BTCSM氣體,並將殘留氣體自處理室201內去除。
作為1分子中含有至少2個Si、進而含有C及鹵素元素 且具有Si-C鍵之原料氣體,除BTCSM氣體外,亦可使用上述所列舉之各種原料氣體。作為惰性氣體,除N2氣體外,亦可使用上述所列舉之稀有氣體。
[步驟2b] (NH3氣體供給)
將處理室201內之殘留氣體去除後,打開閥門243b,使NH3氣體向氣體供給管232b內流動。NH3氣體係藉由MFC 241b而進行流量調整,並自氣體供給孔250b被供給至緩衝室237內。此時,自高頻電源273經由整合器272而向棒狀電極269、270間施加高頻(RF)電力,藉此將供給至緩衝室237內之NH3氣體進行電漿激發,從而作為活性種自氣體供給孔250c被供給至處理室201內,並自排氣管231進行排氣。此時,對晶圓200供給被活化(激發)為電漿狀態之NH3氣體。與此同時,打開閥門243f,使N2氣體向氣體供給管232f內流動。N2氣體係藉由MFC 241f而進行流量調整,且與NH3氣體一起被供給至處理室201內,並自排氣管231進行排氣。供給各氣體時,與上述實施形態同樣地,適當進行N2氣體供給,防止氣體向此時不使用之噴嘴249a內侵入。
處理室201內之壓力、NH3氣體或N2氣體之供給流量、供給時間等處理條件可設為與例如圖5(a)所示之上述實施形態中供給NH3氣體時之處理條件相同之範圍內的處理條件。
加熱器207之溫度可以如下方式進行設定:晶圓200之溫度成為與本實施形態中之上述BTCSM氣體供給時相同的溫度區,即例如室溫以上且450℃以下、較佳為150℃以上且350℃以下之範圍內之溫度。又,自高頻電源273向棒狀電極269、270間施加之高頻電力可以成為例如50~1000W之範圍內之電力的方式進行設定。
此時,於處理室201內流動之氣體為被激發為電漿狀態 之NH3氣體,例如包含N自由基(N*)等活性種。又,BTCSM氣體未於處理室201內流動。因此,NH3氣體不會發生氣相反應,而以活化之狀態對晶圓200進行供給,主要藉由該活性種而對晶圓200上所形成之第1層進行氮化處理。該活性種所具有之能量高於例如上述實施形態般經熱活化之NH3氣體所具有之能量。因此,藉由將該活性種之能量賦予第1層,而即便例如於低溫條件下形成之第1層主要為BTCSM氣體之物理吸附層,亦可使第1層向含有Si、C及N之第2層即SiCN層變化(改質處理)。
與NH3氣體同時流動之N2氣體由於游離能量相對較低,本身被激發為電漿狀態,故作為輔助電漿起火之輔助氣體發揮作用。如上所述,藉由在N2氣體之存在下自高頻電源273向棒狀電極269、270間施加高頻電力,而更容易將NH3氣體激發為電漿狀態。此時,亦可例如較NH3氣體之供給先開始N2氣體之供給,並於自高頻電源273向棒狀電極269、270間施加高頻電力後,開始NH3氣體之供給。藉此,向緩衝室237內之成為電漿狀態之N2氣體環境中供給NH3氣體,更容易將NH3氣體激發為電漿狀態。N2氣體亦可作為輔助電漿中之NH3氣體之解離之輔助氣體而發揮作用。
(殘留氣體去除)
於晶圓200上形成第2層後,停止自高頻電源273向棒狀電極269、270間施加高頻電力。又,以與上述實施形態相同之程序,停止供給NH3氣體,並將殘留氣體自處理室201內去除。
作為氮化氣體,除NH3氣體外,亦可使用上述所列舉之含N氣體或N2氣體。作為輔助電漿起火之輔助氣體,除N2氣體外, 亦可使用Ar氣體、He氣體、Ne氣體、Xe氣體等稀有氣體。作為惰性氣體,除N2氣體外,亦可使用上述所列舉之各種稀有氣體。
除NH3氣體外,於將各種含N氣體以電漿狀態進行供給 之情形時,亦與上述至少任一種輔助氣體一起使用該等含N氣體,藉此可利用輔助氣體之電漿對該等含N氣體進行激發並進行供給。又,供給N2氣體作為含N氣體時,當然可不使用輔助氣體而將N2氣體單獨製成電漿狀態,亦可將NH3氣體或其他含N氣體單獨製成電漿狀態而進行供給。
(實施既定次數)
將上述之步驟1b、2b設為1個循環,將該循環進行1次以上,即進行既定次數(n次),藉此可於晶圓200上將既定組成及既定膜厚之SiCN膜成膜。上述循環較佳為反覆數次。即,較佳為使每1個循環所形成之SiCN層之厚度小於所需之膜厚,並數次反覆上述循環直至成為所需之膜厚。
(2)本實施形態之效果
根據本實施形態,除起到與上述第1實施形態相同之效果外,亦起到以下所示之1個或數個效果。
(a)根據本實施形態之成膜順序,於NH3氣體供給步驟中對晶圓200供給被激發為電漿狀態之NH3氣體。藉此,NH3氣體成為較經熱活化之NH3氣體更活化之狀態,而可明顯地提高NH3氣體對第1層之改質作用(氮化作用)。因此,可將第1層更確實地氮化為第2層,而可使最終獲得之SiCN膜為更優質之薄膜。
(b)根據本實施形態之成膜順序,可藉由被激發為電漿狀 態之NH3氣體而明顯地提高對第1層之氮化作用。因此,可將BTCSM氣體供給步驟與NH3氣體供給步驟一併於450℃以下之低溫條件下進行。即,即便為例如於450℃以下之低溫條件下形成、且主要包含BTCSM氣體之物理吸附層的第1層,亦可於NH3氣體供給步驟中更確實地進行氮化。又,即便於將NH3氣體供給步驟本身於例如450℃以下之低溫條件下進行之情形時,亦可進行SiCN層之氮化處理。因此,可改善晶圓200所遭受之熱歷程。
(3)本實施形態之變形例
繼而,針對本實施形態之變形例1、2,使用圖6(b)、(c)及圖7進行說明。
於圖6(a)所示之成膜順序中,已對使用原料氣體或改質氣體各1種而進行成膜處理之例進行說明。於圖6(b)、(c)及圖7所示之變形例1、2中,對使用2種以上原料氣體或2種以上改質氣體而進行成膜處理的例進行說明。
(變形例1)
如圖6(b)及圖7所示般,於變形例1中,將包括對晶圓200供給作為原料氣體之BTCSM氣體之步驟、與對晶圓200供給作為改質氣體之NH3氣體之步驟,進而包括對晶圓200供給作為1分子中含有至少2個Si、進而含有C及鹵素元素且具有Si-C鍵、分子結構與上述原料氣體不同之原料氣體的TCDMDS氣體之步驟 的循環進行既定次數,藉此於晶圓200上形成作為含有Si、C及N之薄膜之SiCN膜。
此處,上述循環包括如下步驟:將包括供給BTCSM氣體作為第1原料氣體之步驟(步驟1c)、與供給TCDMDS氣體作為第2原料氣體之步驟(步驟2c)的集合進行既定次數的步驟,與供給NH3氣體之步驟(步驟3c),且於供給NH3氣體之步驟中,對晶圓200供給被激發為電漿狀態之NH3氣體。
如圖7所示,上述集合及循環可進行1次以上、即進行既定次數。步驟1c與步驟2c自何者先開始均可。以下,對如圖6(b)所示般將自步驟1c先開始之上述集合進行1次、並將上述循環進行數次(n次)的例進行說明。
再者,本變形例之順序與上述第2實施形態之成膜順序的不同之處僅在於進而包括步驟2c方面與其實施順序,除此以外,與第2實施形態之成膜順序相同。以下,主要對變形例1之步驟2c進行說明。
[步驟2c] (TCDMDS氣體供給)
藉由與上述BTCSM氣體供給步驟及殘留氣體去除步驟同樣地進行之步驟1c,而於晶圓200上形成含有C及Cl之含Si層後,對晶圓200供給TCDMDS氣體。
即,打開閥門243c,使TCDMDS氣體向氣體供給管232c 內流動。TCDMDS氣體係藉由MFC 241c而進行流量調整,通過氣體供給管232a並自氣體供給孔250a被供給至處理室201內,並自排氣管231進行排氣。此時,對晶圓200供給TCDMDS氣體。與此同時,打開閥門243e,使N2氣體等惰性氣體向氣體供給管232e內流動。N2氣體係藉由MFC 241e而進行流量調整,且與TCDMDS氣體一起被供給至處理室201內,並自排氣管231進行排氣。又,供給各氣體時,與上述實施形態同樣地,適當進行N2氣體供給,防止氣體向此時不使用之噴嘴249b內或緩衝室237內侵入。
藉由如上述般對晶圓200供給TCDMDS氣體,而於藉 由BTCSM氣體而形成於晶圓200上之含有C及Cl之含Si層上,進而形成含有C及Cl之含Si層。含有C及Cl之含Si層可為含有C及Cl之Si層,亦可為TCDMDS氣體之吸附層,亦可包括上述兩者。
藉此,將藉由BTCSM氣體而形成之含有C及Cl之含 Si層、與藉由TCDMDS氣體而形成之含有C及Cl之含Si層進行積層,而形成例如未滿1層原子層至數層原子層程度之厚度之第1層。再者,此處,主要形成有例如由熱分解不充分之物理吸附形成之BTCSM氣體與TCDMDS氣體之吸附層、即BTCSM氣體與TCDMDS氣體之物理吸附層作為第1層。
然而,源自BTCSM氣體之含有C及Cl之含Si層、與 源自TCDMDS氣體之含有C及Cl之含Si層亦可不形成具有明確邊界之積層狀態,而可形成兩層相互混合存在之狀態。亦可認為,於供給TCDMDS氣體時,先形成之源自BTCSM氣體之含有C及Cl之含Si層與TCDMDS氣體之間產生反應,從而上述兩層相互混合存在之狀態進一步增加。於該情形時,例如源自BTCSM氣體之含有C及Cl之含 Si層中之至少一部分Cl、與TCDMDS氣體分子中之至少一部分甲基進行反應。藉此,生成氯化甲烷(CHxCly)等物質等,而Cl自含有C及Cl之含Si層脫離(奪Cl),又,甲基自TCDMDS氣體分子分離。然後,可認為,含有C及Cl之含Si層中失去Cl而變得具有未鍵結鍵之Si、或一直具有未鍵結鍵之Si、與TCDMDS氣體分子中失去甲基而變得具有未鍵結鍵之Si鍵結,而形成Si-Si鍵。藉此,形成源自各原料氣體之層相互混合存在之第1層。即便於變更步驟1c、2c之實施順序而於供給TCDMDS氣體後供給BTCSM氣體的情形時,亦可產生與上述相同之反應。於該情形時,成為如下情況:源自TCDMDS氣體之含有C及Cl之含Si層中至少一部分C、例如殘留之甲基、與BTCSM氣體分子中之至少一部分鹵素基(Cl)進行反應。
再者,關於此時之處理室201內之壓力、原料氣體、N2 氣體等之供給流量、供給時間、晶圓200之溫度等處理條件,可設為與例如圖6(a)所示之上述成膜順序中之處理條件相同範圍內之處理條件。
(殘留氣體去除)
於晶圓200上形成第1層後,關閉閥門243c而停止供給TCDMDS氣體。又,以與上述實施形態相同之程序,將殘留氣體自處理室201內去除。
其後,於與上述NH3氣體供給步驟及殘留氣體去除步驟 同樣地進行之步驟3c中,將NH3氣體激發為電漿狀態而對晶圓200進行供給。藉此,即便為如上述般BTCSM氣體與TCDMDS氣體之物理吸附層成為主體之含有C及Cl的含Si層,亦可藉由氮化力提高之NH3 氣體進行氮化而使之向SiCN層變化。
又,進而將上述之步驟1c、2c、3c設為1個循環,將該循環進行1次以上(既定次數),藉此於晶圓200上形成SiCN膜。
於如上述般,使用1分子中含有至少2個Si、進而含有C及鹵素元素且具有Si-C鍵、但分子結構互不相同之2種以上的原料氣體而進行成膜步驟之情形時,可使用自BTCSM氣體、BTCSE氣體、TCDMDS氣體、DCTMDS氣體、MCPMDS氣體等中任意組合之氣體。該等氣體為同時成為Si源及C源之原料氣體。如上述般,於1個循環中使用2種Si源(雙矽源)及C源(雙碳源)而進行成膜,藉此可更準確且容易地進行所形成之薄膜之組成等各種控制。例如,可認為,該等氣體雖具有同種之含有元素或Si-C鍵,但所含有之Cl數等不同,而該等氣體之反應性亦不同。又,可認為,氣體分子內之C之導入位置不同,從而含C基之脫離容易性或向膜中之摻入容易性亦不同。因此,可認為,藉由新導入反應性不同之其他氣體代替當初導入之既定氣體,從而如上述般,例如可使該等原料氣體間產生反應而加速成膜速率,或提高相對於N濃度之Si濃度,或者更細緻地控制C濃度而使摻入膜中之C濃度增大。若列舉若干上述所列舉之原料氣體中較佳之組合例,則除例如上述之BTCSM氣體與TCDMDS氣體之組合外,亦有BTCSM氣體與DCTMDS氣體之組合、TCDMDS氣體與DCTMDS氣體之組合等。
又,於使用分子結構互不相同之2種以上之原料氣體而進行成膜步驟之情形時,亦可使用BTCSM氣體等第1原料氣體、與作為至少含有Si及鹵素元素且分子結構與BTCSM氣體等不同之第2原料氣體之例如不含C的鹵矽烷系原料氣體。於該情形時,作為不含 C之鹵矽烷系原料氣體,例如可使用六氯二矽烷(Si2Cl6,簡稱:HCDS)氣體、三氯矽烷(SiHCl3,簡稱:TCS)氣體、四氯化矽(SiCl4,簡稱:STC)氣體、二氯矽烷(SiH2Cl2,簡稱:DCS)氣體、及單氯矽烷(SiH3Cl,簡稱:MCS)氣體等。該等氣體為雖無法成為C源但成為Si源之原料氣體。如上述般,於1個循環中使用2種Si源(雙矽源)而進行成膜,藉此可更準確且容易地進行所形成之薄膜之組成等各種控制。即,可認為,藉由將上述不含C之鹵矽烷系原料氣體與上述具有Si-C鍵之原料氣體進行組合,可形成含Cl但不含C之含Si層、與含C及Cl之含Si層積層而成之第1層,最終獲得之薄膜中之C濃度之控制性進一步增加。但是,該等層亦可形成不具有明確邊界而相互混合存在之狀態。可認為,於該情形時,例如形成C濃度低於使用上述成為C源之氣體僅1種或2種而形成之第1層的含有C及Cl之含Si層作為第1層。若列舉若干上述所列舉之原料氣體中較佳之組合例,則有例如BTCSM氣體與HCDS氣體之組合、TCDMDS氣體與HCDS氣體之組合、DCTMDS氣體與HCDS氣體之組合等。
(變形例2)
如圖6(c)所示般,於變形例2中,將包括對晶圓200供給作為原料氣體之BTCSM氣體之步驟、與對晶圓200供給作為含有N或O中之任一者之第1改質氣體而含N之NH3氣體的步驟,且進而包括對晶圓200供給作為含有N或O中之任意另一者之第2改質氣體而含O之O2氣體的步驟的循環進行既定次數,藉此於晶圓200上形成作為含有Si、O、C 及N之薄膜的碳氮氧化矽(SiOCN膜)。
於上述中,第1改質氣體含有N或O中之任一者,第2 改質氣體含有N或O中之與上述不同者、即並非第1改質氣體所含有者之元素。使用該等氣體而形成之SiOCN膜亦可稱為摻雜(添加)有C及N之氧化矽膜(SiO膜)、摻雜有C之氮氧化矽膜(SiON膜)、摻雜有N之碳氧化矽膜(SiOC膜)等。
此處,於上述循環中,將供給BTCSM氣體之步驟、供給NH3氣體之步驟、及供給O2氣體之步驟設為1個循環,並將該循環進行既定次數,於供給NH3氣體之步驟及供給O2氣體之步驟中,將NH3氣體及O2氣體激發為電漿狀態而對晶圓200進行供給。
上述循環內之各步驟及上述循環可分別進行1次以上,即進行既定次數。又,供給NH3氣體之步驟與供給O2氣體之步驟的任一者先行均可。以下,對如圖6(c)所示般,以供給BTCSM氣體之步驟、供給NH3氣體之步驟、供給O2氣體之步驟之順序將各步驟各進行1次,並將上述循環進行數次(n次)的例進行說明。
再者,本變形例之順序與上述第2實施形態之成膜順序的不同之處僅在於進而包括供給O2氣體之步驟之點與其實施順序,除此以外,與第2實施形態之成膜順序相同。以下,主要對供給O2氣體之步驟進行說明。
(O2氣體供給)
適當插入殘留氣體去除步驟而進行與上述實施形態同樣地進行之BTCSM氣體供給步驟、與NH3氣體供給步驟,而於晶圓200上形成作 為第2層之SiCN層,此後供給O2氣體。
即,打開閥門243d,使O2氣體向氣體供給管232d內流 動。O2氣體係藉由MFC 241d而進行流量調整,且通過氣體供給管232b自氣體供給孔250b被供給至緩衝室237內。此時,自高頻電源273經由整合器272向棒狀電極269、270間施加高頻(RF)電力,藉此對供給至緩衝室237內之O2氣體進行電漿激發,而作為活性種自氣體供給孔250c被供給至處理室201內,並自排氣管231進行排氣。此時,對晶圓200供給被活化(激發)為電漿狀態之O2氣體。與此同時,打開閥門243f,使N2氣體向氣體供給管232f內流動。N2氣體係藉由MFC 241f而進行流量調整,且與O2氣體一起被供給至處理室201內,並自排氣管231進行排氣。又,供給各氣體時,與上述實施形態同樣地適當進行N2氣體供給,防止氣體向此時不使用之噴嘴249a內侵入。
此時,於處理室201內流動之氣體係被激發為電漿狀態 之O2氣體,例如含有O自由基(O*)等活性種。又,BTCSM氣體未於處理室201內流動。因此,O2氣體不會發生氣相反應,而以被活化之狀態對晶圓200供給,主要藉由該活性種而對晶圓200上所形成之第2層進行氧化處理。該活性種所具有之能量高於例如經熱活化之O2氣體所具有之能量。因此,藉由將該活性種之能量賦予第2層,而可使第2層向含有Si、O、C及N之第3層即SiOCN層變化(改質處理)。
與O2氣體同時流動之N2氣體係作為輔助電漿起火之輔 助氣體而發揮作用。此時,例如亦可較O2氣體之供給而先開始N2氣體之供給。N2氣體亦可作為輔助電漿中之O2氣體之解離的輔助氣體而發揮作用。
再者,關於此時之處理室201內之壓力、改質氣體、N2 氣體等各氣體之供給流量、供給時間、晶圓200之溫度等處理條件,可設為與例如圖6(a)所示之上述成膜順序中之處理條件相同範圍內之處理條件。例如,O2氣體之供給流量可設為例如1000~10000sccm、較佳為1000~9000sccm之範圍內之流量。又,對晶圓200供給O2氣體之時間、即氣體供給時間(照射時間)可設為例如1~100秒、較佳為5~60秒之範圍內之時間。
其中,RF電力較佳為低於NH3氣體供給步驟中之RF 電力(50~1000W),或設為零(非電漿)。例如,RF電力較佳為設為0~50W等。又,較佳為將O2氣體之供給流量設定得低於NH3氣體供給步驟中之NH3氣體之供給流量,或將與O2氣體同時流動之N2氣體之供給流量設定得高於NH3氣體供給步驟中與NH3氣體同時流動的N2氣體之供給流量。又,較佳為將O2氣體之供給流量相對於N2氣體之供給流量的比(O2氣體/N2氣體流量比)設定得低於NH3氣體供給步驟中NH3氣體之供給流量相對於N2氣體之供給流量的比(NH3氣體/N2氣體流量比)。藉此,可使處理室201內之O2氣體之分壓小於NH3氣體供給步驟中之處理室201內的NH3氣體之分壓,而抑制被活化為電漿狀態之O2氣體或將RF電力設為零而熱活化之O2氣體之氧化力過度提高,可抑制C自第2層脫離。即,可使利用O2氣體之第2層之氧化反應為不飽和,藉此,可不切斷而維持第2層所含有之Si-C鍵,而可維持較高之C濃度。再者,將與O2氣體同時流動之N2氣體之供給流量設定得高於BTCSM氣體供給步驟中與BTCSM氣體同時流動的N2氣體之供給流量,或將O2氣體之供給流量相對於N2氣體之供給流量的比(O2氣體/N2氣體流量比)設定得低於BTCSM氣體供給步驟中BTCSM氣體之供給流量相對於N2氣體之供給流量的比(BTCSM氣體/N2氣體 流量比),藉此即便使處理室201內之O2氣體之分壓小於BTCSM氣體供給步驟中處理室201內之BTCSM氣體之分壓,亦可獲得同樣之效果,較佳。
(殘留氣體去除)
於晶圓200上形成第3層後,停止自高頻電源273向棒狀電極269、270間施加高頻電力。又,關閉閥門243d而停止供給O2氣體。又,以與上述實施形態相同之程序,將殘留氣體自處理室201內去除。
作為氧化氣體,除氧氣(O2)外,亦可使用一氧化氮(NO)氣體、一氧化二氮(N2O)氣體、一氧化碳(CO)氣體、二氧化碳(CO2)氣體等含O氣體。作為輔助氣體及惰性氣體,除N2氣體外,亦可使用上述之稀有氣體。亦可不使用輔助氣體而將含O氣體單獨激發為電漿狀態並進行供給。
其後,將上述之各步驟設為1個循環,並將該循環進行1次以上(既定次數),藉此於晶圓200上形成既定組成及既定膜厚之SiOCN膜。
如上述般,以薄膜形式形成SiOCN膜,藉此可獲得具有更豐富之組成之薄膜,又,可進一步提高該薄膜之組成之控制性。例如,SiOCN膜為介電係數低於SiCN膜之膜。藉由如上述般向SiCN膜中導入O等新元素,可降低介電係數。進而,藉由使NH3氣體供給步驟及O2氣體供給步驟之實施時間或實施次數之比率變化,而可調整SiOCN膜中之各成分濃度之比率,更容易調整介電係數等膜特性。
再者,亦可將O2氣體供給步驟應用於上述之圖6(b)之成膜順序。即,如圖6(b)之成膜順序般,供給如成為雙矽源、雙碳源之2 種以上原料氣體後,進行NH3氣體供給步驟與O2氣體供給步驟。藉此,亦可形成SiOCN膜。
又,亦可進行O2氣體供給步驟而更微細地調整膜組成。 於該情形時,進行上述各種實施形態或變形例之成膜順序中之任一者後,例如進行O2氣體供給步驟作為最終步驟。藉此,可將各膜之至少表層部分改質(氧化)而微調整各膜之最終組成。
又,亦可將以上所述之變形例1、2之手法應用於上述 第1實施形態或其變形例。
即,亦可將為了形成雙矽源或雙碳源而使用2種以上原 料氣體進行之成膜步驟於如上述第1實施形態之溫度區(溫度區域)中於如上述第1實施形態般之非電漿環境氣體下進行。又,亦可將一併使用氮化氣體與氧化氣體作為改質氣體而進行之成膜步驟於如上述第1實施形態之溫度區(溫度區域)中於如上述第1實施形態般之非電漿環境氣體下進行。可認為,藉由於上述溫度區中進行該等成膜步驟,而原料氣體供給步驟中所形成之第1層成為以化學吸附層或含有C及Cl之Si層而並非物理吸附層為主體之層。於使用2種以上原料氣體之情形時,亦更容易產生兩種氣體間之上述反應。又,於其後之改質氣體供給步驟中,可於如上述第1實施形態之溫度區(溫度區域)中於非電漿環境氣體下進行第1層之改質(氮化、氧化、或其兩者)。
再者,如上述般,本實施形態及變形例之基板處理裝置 係以如下方式構成:具備數根原料氣體供給管線或數根改質氣體供給管線,且可自含有各不相同之既定元素之數種氣體、或分子結構各不相同之數種氣體中選擇特定之氣體並進行供給。藉此,容易根據所需之膜組成等而自數種氣體中選擇特定之原料氣體或改質氣體並進行供 給。因此,可利用1台基板處理裝置而通用地且再現性良好地形成各種組成比、膜質之薄膜。又,可確保於氣體種類之追加或更換等時之裝置運用之自由度。
又,於本實施形態及變形例之基板處理裝置中,可對每 種原料氣體或改質氣體、即每個不同氣體系統預先準備數種上述各種薄膜之成膜所使用之製程配方(記載有處理程序或處理條件之程式)。 即,於本實施形態及變形例之基板處理裝置中,可對每種不同處理條件預先準備數種製程配方。藉此,容易根據所需之膜組成等而自數種氣體中選擇特定之原料氣體或改質氣體並進行供給。操作人員只要根據所需之膜組成等而自數種製程配方中適當選擇合適之製程配方並執行成膜處理即可。因此,可利用1台基板處理裝置而通用地且再現性良好地形成各種組成比、膜質之薄膜。又,可減少操作人員之操作負擔(處理程序或處理條件之輸入負擔等),避免操作失誤且可迅速開始基板處理。
<其他實施形態>
以上,已具體地說明本發明之實施形態,但本發明並不限定於上述實施形態或變形例,可於不偏離其主旨之範圍內進行各種變更。
例如,於上述之實施形態等中,已對在利用電漿激發NH3氣體等改質氣體並對晶圓200進行供給之情形時,使用與作為輔助氣體之N2氣體共用之噴嘴249b,將NH3氣體與N2氣體一起經由緩衝室237而向處理室201內進行供給的例進行說明。然而,NH3氣體亦可自與供給N2氣體等之噴嘴249b分開設置於緩衝室237外之噴嘴不經由緩衝室237而供給至處理室201內。即便於該情形時,亦可藉 由自氣體供給孔250c以電漿狀態供給至處理室201內之N2氣體等之輔助,而利用電漿將供給至處理室201內之NH3氣體激發。
於上述實施形態等中,使用作為惰性氣體之N2氣體作 為輔助氣體,但亦可自與惰性氣體供給管線分開設置之輔助氣體供給管線供給輔助氣體。
於上述之實施形態等中,已對以薄膜形式形成SiCN膜 或SiOCN膜之例進行說明,但亦可使用氧化氣體代替氮化氣體作為改質氣體而形成SiOC膜。即,於上述之實施形態或變形例之成膜順序中,例如亦可進行O2氣體供給步驟以代替NH3氣體供給步驟,而使藉由原料氣體供給步驟而形成之第1層氧化而形成作為第2層之碳氧化矽層(SiOC層)。SiOC膜之介電係數低於SiCN膜,而可謀求薄膜之進一步低介電係數化。於圖8(a)~(d)列舉若干成膜順序例。於圖8(a)~(d)中,於各氣體之供給步驟中,可使用上述之實施形態或變形例各自所對應之步驟中的條件。於圖8(a)中,亦可於氧化氣體供給時施加RF電力,而供給被激發為電漿狀態之氧化氣體。於圖8(d)中,亦可於氧化氣體供給時不施加RF電力,而於非電漿環境氣體下供給氧化氣體。其中,於施加RF電力而供給被激發為電漿狀態之氧化氣體之情形時,較佳為使RF電力或處理室201內之氧化氣體之分壓如上所述地降低,而抑制被活化為電漿狀態之氧化氣體之氧化力過度提高,而抑制C自所形成之層脫離。又,於不施加RF電力而供給非電漿且經熱活化之氧化氣體之情形時,亦較佳為使處理室201內之氧化氣體之分壓如上所述地降低,以抑制經熱活化之氧化氣體之氧化力過度提高,而抑制C自所形成之層脫離。即,較佳為藉由控制氧化氣體供給時之RF電力或氧化氣體之分壓等各種處理條件,而抑制氧化力(使氧化反應不飽和),使 所形成之層所含有之Si-C鍵不切斷而維持。藉此,可維持層中之較高之C濃度。
作為氧化氣體,除O2氣體外,亦可使用上述所列舉之 含O氣體。於非電漿環境氣體下進行之氧化氣體供給步驟中,作為氧化氣體,除上述含O氣體外,亦可使用臭氧(O3)氣體、過氧化氫(H2O2)氣體、及水蒸氣(H2O氣體)等。
於上述之實施形態等中,已對以薄膜形式形成SiCN膜 或SiOC膜或SiOCN膜之例進行說明,但亦可形成將含有互不相同之組成或元素之該等薄膜數層積層而成之積層膜。例如,於該情形時,可將氮化氣體與氧化氣體一起用作改質氣體而進行成膜。
作為該情形時之順序例,可列舉藉由將包括如下步驟之循環進行既定次數,而於晶圓200上形成積層膜的例:將包括對晶圓200供給原料氣體之步驟、與對晶圓200供給含有作為N或O中之任一者之第1元素之第1改質氣體之步驟的集合進行既定次數之步驟(集合1),與將包括對晶圓200供給原料氣體之步驟、與對晶圓200供給含有作為N或O中之任意另一者之第2元素之第2改質氣體之步驟的集合進行既定次數之步驟(集合2)。
上述各集合及循環可分別進行1次以上、即進行既定次數。又,集合1與集合2之任一者先開始均可。將包括集合1、2之循環進行1次,藉此可形成藉由集合1而形成之含有Si、C及第1元素之膜或層(以下亦稱為第1薄膜)、與藉由集合2而形成之含有Si、C及第2元素之膜或層(以下亦稱為第2薄膜)各積層1層而成的積層膜(堆疊膜)。又,藉由將包括集合1、2之循環進行數次,而可形成第1薄 膜與第2薄膜各自積層數層而成之積層膜(層壓膜)。
此處,例如作為原料氣體,可使用BTCSM氣體、BTCSE 氣體、TCDMDS氣體、DCTMDS氣體、MCPMDS氣體等。又,於集合1中,可使用上述所列舉之各種氮化氣體或氧化氣體中之任一種、例如氮化氣體作為第1改質氣體。於集合2中,可使用上述所列舉之各種氮化氣體或氧化氣體中之任意另一種、例如氧化氣體作為第2改質氣體。
於圖9(a)中表示原料氣體例如為BTCSM氣體之情形之 成膜順序例。於圖9(a)之成膜順序中,將集合1進行1次而形成SiCN層,將集合2進行1次而形成SiOC層。於圖9(a)之成膜順序中,進而將交替進行集合1及集合2之循環反覆數次,而形成SiCN層與SiOC層之積層膜。藉由以薄膜之形式形成此種積層膜,可獲得具有更豐富之組成之薄膜,又,可使該薄膜之組成之控制性進一步提高。例如,SiCN層對使用O2電漿等之灰化之耐受性優於SiOC層。又,如上所述,SiOC層係介電係數低於SiCN層之層。藉由形成灰化耐受性優於SiOC層之SiCN層、與介電係數低於SiCN層之SiOC層的積層膜,而可形成例如SiCN層之特性與SiOC層之特性相輔相成之積層膜。又,藉由使SiCN層與SiOC層之積層數之比率變化等,而容易調整灰化耐受性或介電係數等膜特性。
SiCN層之灰化耐受性優於SiOC層之原因係如下所述。 可認為,於SiOC層中,藉由O2電漿等所具有之較強氧化力而使SiOC層之氧化進一步進行,從而與O鍵結之C形成CO氣體或CO2氣體而容易自SiOC層中脫離。相對於此,可認為於SiCN層中,C自膜中之脫離相對難以發生,而容易維持SiCN層中之C濃度。因此,SiCN層 亦較SiOC層容易維持灰化後之蝕刻耐受性。
再者,亦可將SiCN層與SiOC層之積層膜稱為SiOC層 與SiCN層交替積層而成之SiOCN膜。SiOCN膜亦可稱為摻雜(添加)有N之SiOC膜。如上所述,SiOCN膜亦可稱為藉由向SiOC膜中導入N等新元素而使SiOC膜之灰化耐受性提高之薄膜。
又,上述集合1亦可進而包括對晶圓200供給第2改質 氣體之步驟,於該情形時,第1薄膜同時含有N與O。又,上述集合2亦可進而包括對晶圓200供給第1改質氣體之步驟,於該情形時,第2薄膜同時含有N與O。
於圖9(b)中表示集合1進而包括對晶圓200供給第2改 質氣體之步驟之情形的成膜順序例。於圖9(b)之成膜順序中,將集合1進行1次而形成SiOCN層,將集合2進行1次而形成SiOC層。於圖9(b)之成膜順序中,進而將交替進行集合1及集合2之循環反覆數次,而形成SiOCN層與SiOC層之積層膜。亦可將該積層膜稱為SiOCN層與SiOC層交替積層而成之SiOCN膜。又,於集合2進而包括對晶圓200供給第1改質氣體之步驟之情形時,形成藉由集合1與集合2而分別形成之SiCN層與SiOCN層之積層膜,即SiCN層與SiOCN層交替積層而成之SiOCN層。藉由形成如灰化耐受性優於SiOC層之SiOCN層、與介電係數低於SiOCN層之SiOC層的積層膜般各種特性不同之數層之積層膜,而可製成謀求例如灰化耐受性進一步提高或介電係數進一步降低等使各層之特性相輔相成之積層膜,可使薄膜中之各種特性之控制性進一步提高。
又,亦可如上述第1實施形態之變形例般,同時供給原 料氣體與改質氣體,而進行將氮化氣體與氧化氣體一起用作改質氣體 而進行之成膜步驟。
於該情形之循環中,於實施過供給作為第1改質氣體之氮化氣體之步驟的狀態下,將供給原料氣體之步驟進行既定次數,且於實施過供給作為第2改質氣體之氧化氣體之步驟的狀態下,將供給原料氣體之步驟進行既定次數。
又,作為將含有互不相同之組成或元素之薄膜積層數層而成的積層膜,亦可使用由2種以上原料氣體獲得之雙矽源或雙碳源而形成積層膜。
例如,作為該情形之順序例,可列舉藉由將包括如下步驟之循環進行既定次數而於晶圓200上形成積層膜的例:將包括供給原料氣體之步驟、與供給改質氣體之步驟之集合進行既定次數的步驟(集合1),與將包括供給分子結構與上述原料氣體不同之原料氣體之步驟、與供給改質氣體之步驟之集合進行既定次數的步驟(集合2)。
此處,例如於集合1中,作為原料氣體,可使用BTCSM氣體、BTCSE氣體、TCDMDS氣體、DCTMDS氣體、MCPMDS氣體等中之既定氣體。又,例如於集合2中,作為原料氣體,可使用BTCSM氣體、BTCSE氣體、TCDMDS氣體、DCTMDS氣體、MCPMDS氣體、HCDS氣體、TCS氣體、STC氣體、DCS氣體、及MCS氣體等中成為分子結構與上述不同之組合之原料氣體。集合2之改質氣體可為含有與集合1之改質氣體相同之元素之氣體,亦可為含有與集合1之改質氣體不同之元素之氣體。於集合1中亦可使用含有互不相同之元素之氣體兩者,於集合2中亦可使用含有互不相同之元素之氣體兩者。
於圖9(c)中表示於集合1中使用BTCSM氣體作為原料 氣體、於集合2中使用TCDMDS氣體作為原料氣體,且於集合1、2中均使用NH3氣體作為改質氣體的情形之成膜順序例。於圖9(c)之成膜順序中,於集合1中形成源自BTCSM氣體之SiCN層,於集合2中形成源自TCDMDS氣體之SiCN層。該等SiCN層係使用反應性各不相同之原料氣體而形成,而可認為組成比即Si濃度、C濃度、N濃度等之比率相互不同。於圖9(c)之成膜順序中,進而將交替進行集合1及集合2之循環反覆數次,而形成該等SiCN層之積層膜。亦可將該積層膜稱為將組成比各不相同之SiCN層積層而成之SiCN膜。如此,藉由使用2種以上原料氣體,而容易更精密地控制薄膜中之各成分之比率等。
又,亦可如上述第1實施形態之變形例般,同時供給原料氣體與改質氣體,而進行使用2種以上原料氣體而進行之成膜步驟。
該情形之循環中,於實施供給改質氣體之步驟後之狀態下,將供給原料氣體之步驟進行既定次數,且於實施供給改質氣體之步驟後之狀態下,將供給分子結構與上述原料氣體不同之原料氣體之步驟進行既定次數。
再者,於上述之圖9(a)~(c)中,於各氣體之供給步驟中可使用上述之實施形態或變形例中之條件。亦可於非電漿環境氣體下進行該等成膜順序。
然而,就習知之SRAM(Static Random Access Memory,靜態隨機存取記憶體)而言,即便於待機狀態,亦於向元件施加有電壓之狀態下流出電晶體之待機洩漏電流。就與SRAM同樣地為揮發性記 憶體之DRAM(Dynamic Random Access Memory,動態隨機存取記憶體)而言,為了保持資料而必需進行更新動作。伴隨著半導體裝置之高積體化,構成大規模LSI(large-scale integration,大規模積體電路)之快取記憶體的記憶元件之搭載位元數日益增加,而有由該等引起消耗電力增加之顧慮。又,亦有電晶體之微細化極限或電容器材料之高介電係數化等形成記憶元件方面之課題。
為了謀求消耗電力之減少,而期望待機時之資料保持無 需電力之非揮發性記憶體。作為代替SRAM或DRAM之記憶元件,開發有MRAM(Magnetic Random Access Memory,磁性隨機存取記憶體)、或藉由STT(spin-transfer torque,自旋力矩轉移)方式使磁場對其垂直配向之STT-MRAM。又,就資料儲存所使用之NAND快閃記憶體而言,鄰接元件間之干擾之弊病明顯化,而開發有將元件於縱方向堆積而緩和元件間距離之3D構造、或作為代替NAND快閃記憶體之下一代記憶體技術之RRAM(Resistive Random Access Memory,阻變隨機存取記憶體)。如STT-MRAM或RRAM般之下一代記憶體中,應用磁性體材料或阻變材料等記憶元件本體之開發進展,另一方面,關於覆蓋記憶元件之覆蓋膜等,就抑制元件特性變差之觀點而言,亦被要求於例如350℃以下可成膜之高絕緣性之膜。
如上述之各實施形態或各變形例般,於非電漿環境氣體 下以中間程度之溫度形成、或於電漿環境氣體下以更低溫形成之低介電係數且具備高絕緣性之薄膜可較佳地用於上述記憶元件之周邊構造。
具體而言,使用藉由上述之各實施形態或各變形例之手法而形成之薄膜作為SWS,藉此可提供洩漏電流較少、且加工性優異 之裝置形成技術。
又,使用藉由上述之各實施形態或各變形例之手法而形成之薄膜作為蝕刻終止層,藉此可提供加工性優異之裝置形成技術。
又,根據上述之各實施形態或各變形例,例如可不使用電漿而形成薄膜,因此例如DPT(Directly Printing Technology,直接印刷技術)之SADP(Self-aligned double patterning,自對準型雙重圖案化)膜等變得可適應有電漿損害顧慮之步驟。
再者,該等各種薄膜之成膜所使用之製程配方(記載有處理程序或處理條件之程式)較佳為根據基板處理之內容(所形成之薄膜之種類、組成比、膜質、膜厚等)而各自分別準備(準備數種)。然後,較佳為於開始基板處理時,根據基板處理之內容,自數種製程配方中適當選擇合適之製程配方。具體而言,較佳為預先將根據基板處理之內容而個別準備之數種製程配方經由電信線路或記錄有該製程配方之記錄媒體(外部記憶裝置123),而儲存(安裝)於基板處理裝置所具備之記憶裝置121c內。然後,較佳為於開始基板處理時,基板處理裝置所具備之CPU 121a根據基板處理之內容,自儲存於記憶裝置121c內之數種製程配方中適當選擇合適之製程配方。藉由以上述方式構成,而可利用1台基板處理裝置通用地且再現性良好地形成各種膜種類、組成比、膜質、膜厚之薄膜。又,可減少操作人員之操作負擔(處理程序或處理條件之輸入負擔等),避免操作失誤且可迅速地開始基板處理。
上述製程配方並不限定於新製作之情形,例如亦可藉由變更已安裝於基板處理裝置之既存製程配方而準備。於變更製程配方之情形時,亦可將變更後之製程配方經由電信線路或記錄有該製程配方之記錄媒體而安裝於基板處理裝置。又,亦可操作既存之基板處理 裝置所具備之輸入輸出裝置122,而直接變更已安裝於基板處理裝置之既存製程配方。
於上述實施形態等之成膜順序中,對在室溫下形成各種 薄膜之例亦已進行說明,於該情形時,無需利用加熱器207對處理室201內進行加熱,基板處理裝置中亦可不設置加熱器。藉此,可將基板處理裝置之加熱系統之構成簡化,而可使基板處理裝置更廉價且構造簡單。
於上述實施形態等中,已對使用一次處理數片基板之批 次式基板處理裝置而將薄膜成膜的例進行說明,但本發明並不限定於此,亦可較佳地應用於使用一次處理1片或數片基板之逐片式基板處理裝置而將薄膜成膜的情形。又,於上述實施形態中,已對使用具有熱壁型處理爐之基板處理裝置而將薄膜成膜的例進行說明,但本發明並不限定於此,亦可較佳地應用於使用具有冷壁型處理爐之基板處理裝置而將薄膜成膜的情形。
上述之各實施形態及各變形例可適當組合而使用。
<本發明之較佳態樣>
以下,對本發明之較佳態樣進行附記。
(附記1)
根據本發明之一態樣,提供一種半導體裝置之製造方法,其具有針對循環進行既定次數而藉此在基板上形成包含有矽、碳及既定元素之薄膜的步驟;該循環係包括有如下之步驟: 對上述基板供給原料氣體的步驟,該原料氣體係於1分子中包含有至少2個矽,且更進一步包含有碳及鹵素元素,並且具有Si-C鍵;及對上述基板供給改質氣體的步驟,該改質氣體係包含有氮或氧中之任一者即上述既定元素。
(附記2)
如附記1所記載之半導體裝置之製造方法,其中,較佳為於上述供給改質氣體之步驟中,對上述基板供給含有氮或氧中之任一者之第1改質氣體,上述循環進而包括對上述基板供給含有氮或氧中之任意另一者之第2改質氣體的步驟,且上述薄膜含有矽、碳、氮及氧。
(附記3)
如附記2所記載之半導體裝置之製造方法,其中,較佳為於上述形成薄膜之步驟中,將上述供給原料氣體之步驟、上述供給第1改質氣體之步驟、及上述供給第2改質氣體之步驟設為1個循環,並將該循環進行既定次數。
(附記4)
如附記2所記載之半導體裝置之製造方法,其中,較佳為上述循環包括:將包括上述供給原料氣體之步驟、與上述供給第1改質氣體之步驟的集合進行既定次數之步驟,與 將包括上述供給原料氣體之步驟、與上述供給第2改質氣體之步驟的集合進行既定次數之步驟。
(附記5)
如附記2所記載之半導體裝置之製造方法,其中,較佳為上述循環包括:將包括上述供給原料氣體之步驟、上述供給第1改質氣體之步驟、及上述供給第2改質氣體之步驟的集合進行既定次數之步驟,與將包括上述供給原料氣體之步驟、與上述供給第2改質氣體之步驟的集合進行既定次數之步驟。
(附記6)
如附記2所記載之半導體裝置之製造方法,其中,較佳為上述循環包括:將包括上述供給原料氣體之步驟、與上述供給第1改質氣體之步驟的集合進行既定次數之步驟,與將包括上述供給原料氣體之步驟、上述供給第1改質氣體之步驟、及上述供給第2改質氣體之步驟的集合進行既定次數之步驟。
(附記7)
如附記1至3中任一項所記載之半導體裝置之製造方法,其中,較佳為上述循環進而包括如下步驟:對上述基板供給至少含有矽及鹵素元素、且分子結構與上述原料氣體不同的原料氣體。
(附記8)
如附記1至3中任一項所記載之半導體裝置之製造方法,其中,較佳為上述循環進而包括如下步驟:對上述基板供給1分子中含有至少2個矽、進而含有碳及鹵素元素且具有Si-C鍵、並且分子結構與上述原料氣體不同的原料氣體。
(附記9)
如附記7或8所記載之半導體裝置之製造方法,其中,較佳為上述循環包括:將包括上述供給原料氣體之步驟、供給分子結構與上述原料氣體不同之原料氣體之步驟的集合進行既定次數之步驟,與上述供給改質氣體之步驟。
(附記10)
如附記7或8所記載之半導體裝置之製造方法,其中,較佳為上述循環包括:將包括上述供給原料氣體之步驟、與上述供給改質氣體之步驟的集合進行既定次數之步驟,與將包括供給分子結構與上述原料氣體不同之原料氣體之步驟、與上述供給改質氣體之步驟的集合進行既定次數之步驟。
(附記11)
如附記7或8所記載之半導體裝置之製造方法,其中,較佳為上述循環包括:將包括上述供給原料氣體之步驟、與上述供給第1改質氣體之步驟的集合進行既定次數之步驟,與將包括供給分子結構與上述原料氣體不同之原料氣體之步驟、與上述供給第2改質氣體之步驟的集合進行既定次數之步驟。
(附記12)
如附記7或8所記載之半導體裝置之製造方法,其中,較佳為上述循環包括:將包括上述供給原料氣體之步驟、上述供給第1改質氣體之步驟、及上述供給第2改質氣體之步驟的集合進行既定次數之步驟,與將包括供給分子結構與上述原料氣體不同之原料氣體之步驟、與上述供給第2改質氣體之步驟的集合進行既定次數之步驟。
(附記13)
如附記7或8所記載之半導體裝置之製造方法,其中,較佳為上述循環包括:將包括上述供給原料氣體之步驟、與上述供給第1改質氣體之步驟的集合進行既定次數之步驟,與將包括供給分子結構與上述原料氣體不同之原料氣體之步驟、上述供給第1改質氣體之步驟、及上述供給第2改質氣體之步驟的集合進行既定次數之步驟。
(附記14)
如附記1所記載之半導體裝置之製造方法,其中,較佳為於上述循環中,於實施過上述供給改質氣體之步驟之狀態下,將上述供給原料氣體之步驟進行既定次數。
(附記15)
如附記2所記載之半導體裝置之製造方法,其中,較佳為於上述循環中,於實施過上述供給第1改質氣體之步驟之狀態下,將上述供給原料氣體之步驟進行既定次數,且於實施過上述供給第2改質氣體之步驟之狀態下,將上述供給原料氣體之步驟進行既定次數。
(附記16)
如附記7或8所記載之半導體裝置之製造方法,其中,較佳為於上述循環中,於實施過上述供給改質氣體之步驟之狀態下,將上述供給原料氣體之步驟進行既定次數,於實施過上述供給改質氣體之步驟之狀態下,將供給分子結構與上述原料氣體不同之原料氣體之步驟進行既定次數。
(附記17)
根據本發明之另一態樣,提供一種半導體裝置之製造方法,其具 有藉由將包括如下步驟之循環進行既定次數,而於基板上形成含有矽、碳、氮及氧之薄膜的步驟:對基板供給1分子中含有至少2個矽、進而含有碳及鹵素元素且具有Si-C鍵之原料氣體的步驟,對上述基板供給含有氮或氧中之任一者之第1改質氣體之步驟,及對上述基板供給含有氮或氧中之任意另一者之第2改質氣體的步驟。
(附記18)
根據本發明之又一態樣,提供一種半導體裝置之製造方法,其具有藉由將包括如下步驟之循環進行既定次數,而於基板上形成第1薄膜與第2薄膜之積層膜的步驟:將包括對基板供給1分子中含有至少2個矽、進而含有碳及鹵素元素且具有Si-C鍵之第1原料氣體之步驟、與對上述基板供給含有作為氮或氧中之任一者之第1元素之第1改質氣體之步驟的集合進行既定次數,藉此形成含有矽、碳、及上述第1元素之第1薄膜的步驟;與將包括對上述基板供給1分子中含有至少2個矽、進而含有碳及鹵素元素且具有Si-C鍵之第2原料氣體之步驟、與對上述基板供給含有作為氮或氧中之任意另一者之第2元素之第2改質氣體之步驟的集合進行既定次數,藉此形成含有矽、碳、及上述第2元素之第2薄膜的步驟。
(附記19)
如附記18所記載之半導體裝置之製造方法,其中,較佳為上述形成第1薄膜之步驟進而包括對上述基板供給上述第2改質氣體之步驟,且於上述形成第1薄膜之步驟中形成之上述第1薄膜含有矽、碳、上述第1元素及上述第2元素。
(附記20)
如附記18所記載之半導體裝置之製造方法,其中,較佳為上述形成第2薄膜之步驟進而包括對上述基板供給上述第1改質氣體之步驟,且於上述形成第2薄膜之步驟中形成之上述第2薄膜含有矽、碳、上述第1元素及上述第2元素。
(附記21)
根據本發明之進而另一態樣,提供一種基板處理方法,其具有藉由將包括如下步驟之循環進行既定次數,而於基板上形成含有矽、碳及既定元素之薄膜的步驟:對基板供給1分子中含有至少2個矽、進而含有碳及鹵素元素且具有Si-C鍵之原料氣體的步驟,與對上述基板供給含有作為氮或氧中之任一者之既定元素之改質氣體的步驟。
(附記22)
根據本發明之進而又一態樣,提供一種基板處理裝置,其具有:處理室,其收納基板;原料氣體供給系統,其向上述處理室內供給1分子中含有至少2個矽、進而含有碳及鹵素元素且具有Si-C鍵的原料氣體;改質氣體供給系統,其向上述處理室內供給含有作為氮或氧中之任一者之既定元素之改質氣體;及控制部,其控制上述原料氣體供給系統及上述改質氣體供給系統以進行下述處理:將包括對上述處理室內之基板供給上述原料氣體之處理、與對上述處理室內之上述基板供給上述改質氣體之處理的循環進行既定次數,藉此於上述基板上形成含有矽、碳及上述既定元素之薄膜。
(附記23)
根據本發明之進而另一態樣,提供一種程式及記錄有該程式之電腦可讀取記錄媒體,該程式係使電腦執行下述程序者,該程序係藉由將包括如下程序之循環進行既定次數,而於基板上形成含有矽、碳及上述既定元素之薄膜:對基板處理裝置之處理室內之基板供給1分子中含有至少2個矽、進而含有碳及鹵素元素且具有Si-C鍵之原料氣體的程序,與對上述處理室內之上述基板供給含有作為氮或氧中之任一者之既定元素之改質氣體的程序。

Claims (18)

  1. 一種半導體裝置之製造方法,其具有針對循環進行既定次數而藉此在基板上形成包含有矽、碳及既定元素之薄膜的步驟;該循環係包括有以下之步驟:對上述基板供給原料氣體的步驟,該原料氣體係於1分子中包含有至少2個矽,且更進一步包含有碳及鹵素元素,並且具有Si-C鍵;及對上述基板供給改質氣體的步驟,該改質氣體係包含有氮或氧中之任一者即上述既定元素。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中,在供給上述改質氣體之步驟中,對上述基板供給第1改質氣體,該第1改質氣體係包含有氮或氧中之任意之一者,上述循環係更進一步包括有對上述基板供給第2改質氣體的步驟,該第2改質氣體係包含有氮或氧中之任意之另外一者,且上述薄膜係包含有矽、碳、氮及氧。
  3. 如申請專利範圍第2項之半導體裝置之製造方法,其中,在形成上述薄膜之步驟中,將供給上述原料氣體之步驟、供給上述第1改質氣體之步驟、及供給上述第2改質氣體之步驟加以設定為1個循環,並針對該循環進行既定次數。
  4. 如申請專利範圍第2項之半導體裝置之製造方法,其中,上述循環係包括有:針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟與供給上述第1改質氣體之步驟;及針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣 體之步驟與供給上述第2改質氣體之步驟。
  5. 如申請專利範圍第2項之半導體裝置之製造方法,其中,上述循環係包括有:針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟、供給上述第1改質氣體之步驟、及供給上述第2改質氣體之步驟;及針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟與供給上述第2改質氣體之步驟。
  6. 如申請專利範圍第2項之半導體裝置之製造方法,其中,上述循環係包括有:針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟與供給上述第1改質氣體之步驟;及針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟、供給上述第1改質氣體之步驟、及供給上述第2改質氣體之步驟。
  7. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述循環係更進一步包括有以下之步驟:對上述基板供給原料氣體,該原料氣體係至少包含有矽及鹵素元素,且分子結構為不同於上述原料氣體。
  8. 如申請專利範圍第1項之半導體裝置之製造方法,其中,上述循環係更進一步包括有以下之步驟:對上述基板供給原料氣體,該原料氣體係於1分子中包含有至少2個矽,且更進一步包含有碳及鹵素元素,且具有Si-C鍵,並且分子結構為不同於上述原料氣體。
  9. 如申請專利範圍第7項之半導體裝置之製造方法,其中,上述 循環係包括有:針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟與供給分子結構為不同於上述原料氣體之原料氣體之步驟;及供給上述改質氣體之步驟。
  10. 如申請專利範圍第7項之半導體裝置之製造方法,其中,上述循環係包括有:針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟與供給上述改質氣體之步驟;及針對集合進行既定次數之步驟,該集合係包括有供給分子結構為不同於上述原料氣體之原料氣體之步驟與供給上述改質氣體之步驟。
  11. 如申請專利範圍第7項之半導體裝置之製造方法,其中,上述循環係包括有:針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟與供給上述第1改質氣體之步驟;及針對集合進行既定次數之步驟,該集合係包括有供給分子結構為不同於上述原料氣體之原料氣體之步驟與供給上述第2改質氣體之步驟。
  12. 如申請專利範圍第7項之半導體裝置之製造方法,其中,上述循環係包括有:針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟、供給上述第1改質氣體之步驟、及供給上述第2改質氣體之步驟;及 針對集合進行既定次數之步驟,該集合係包括有供給分子結構為不同於上述原料氣體之原料氣體之步驟與供給上述第2改質氣體之步驟。
  13. 如申請專利範圍第7項之半導體裝置之製造方法,其中,上述循環係包括有:針對集合進行既定次數之步驟,該集合係包括有供給上述原料氣體之步驟與供給上述第1改質氣體之步驟;及針對集合進行既定次數之步驟,該集合係包括有供給分子結構為不同於上述原料氣體之原料氣體之步驟、供給上述第1改質氣體之步驟、及供給上述第2改質氣體之步驟。
  14. 如申請專利範圍第1項之半導體裝置之製造方法,其中,在上述循環中,於實施過供給上述改質氣體的步驟之狀態下,針對供給上述原料氣體之步驟進行既定次數。
  15. 如申請專利範圍第2項之半導體裝置之製造方法,其中,在上述循環中,於實施過供給上述第1改質氣體之步驟之狀態下,針對供給上述原料氣體之步驟進行既定次數,於實施過供給上述第2改質氣體之步驟之狀態下,針對供給上述原料氣體之步驟進行既定次數。
  16. 如申請專利範圍第7項之半導體裝置之製造方法,其中,在上述循環中,於實施過供給上述改質氣體之步驟之狀態下,針對供給上述原料氣體之步驟進行既定次數, 於實施過供給上述改質氣體之步驟之狀態下,針對供給分子結構為不同於上述原料氣體之原料氣體之步驟進行既定次數。
  17. 一種基板處理裝置,其具有:處理室,其收納基板;原料氣體供給系統,其向上述處理室內供給原料氣體,該原料氣體係於1分子中包含有至少2個矽,且更進一步包含有碳及鹵素元素,並且具有Si-C鍵;改質氣體供給系統,其向上述處理室內供給改質氣體,該改質氣體係包含有氮或氧中之任一者即既定元素;及控制部,其以實施針對循環進行既定次數而藉此在上述基板上形成包含有矽、碳及上述既定元素之薄膜的處理之方式對上述原料氣體供給系統及上述改質氣體供給系統進行控制,該循環係包含有對上述處理室內之基板供給上述原料氣體之處理、及對上述處理室內之上述基板供給上述改質氣體之處理。
  18. 一種電腦可讀取之記錄媒體,其記錄有程式,該程式係使電腦執行針對循環進行既定次數而藉此在基板上形成包含有矽、碳及既定元素之薄膜的程序;該循環係包括有以下之程序:對處理室內之上述基板供給原料氣體之程序,該原料氣體係於1分子中包含有至少2個矽,且更進一步包含有碳及鹵素元素,並且具有Si-C鍵;及對上述處理室內之上述基板供給改質氣體之程序,該改質氣體係包含有氮或氧中之任一者即上述既定元素。
TW103123277A 2013-08-08 2014-07-07 半導體裝置之製造方法,基板處理裝置及記錄媒體 TW201511131A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2013165158A JP6125946B2 (ja) 2013-08-08 2013-08-08 半導体装置の製造方法、基板処理装置及びプログラム

Publications (2)

Publication Number Publication Date
TW201511131A true TW201511131A (zh) 2015-03-16
TWI563569B TWI563569B (zh) 2016-12-21

Family

ID=52449014

Family Applications (1)

Application Number Title Priority Date Filing Date
TW103123277A TW201511131A (zh) 2013-08-08 2014-07-07 半導體裝置之製造方法,基板處理裝置及記錄媒體

Country Status (4)

Country Link
US (1) US9732426B2 (zh)
JP (1) JP6125946B2 (zh)
KR (1) KR101553481B1 (zh)
TW (1) TW201511131A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI636486B (zh) * 2015-04-14 2018-09-21 尤金科技有限公司 基板處理裝置

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5384291B2 (ja) * 2008-11-26 2014-01-08 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
JP6545093B2 (ja) 2015-12-14 2019-07-17 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6523186B2 (ja) 2016-02-01 2019-05-29 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6594804B2 (ja) * 2016-03-11 2019-10-23 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
JP6814057B2 (ja) * 2017-01-27 2021-01-13 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6929173B2 (ja) * 2017-09-13 2021-09-01 東京エレクトロン株式会社 シリコン酸化膜を形成する方法および装置
US10957543B2 (en) * 2017-09-29 2021-03-23 Taiwan Semiconductor Manufacturing Co., Ltd. Device and method of dielectric layer
KR101931692B1 (ko) * 2017-10-11 2018-12-21 주식회사 유진테크 배치식 플라즈마 기판처리장치
JP6653308B2 (ja) * 2017-11-15 2020-02-26 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
JP6744347B2 (ja) * 2018-03-02 2020-08-19 東芝デバイス&ストレージ株式会社 半導体装置の製造方法
US20200071819A1 (en) 2018-08-29 2020-03-05 Versum Materials Us, Llc Methods For Making Silicon Containing Films That Have High Carbon Content
WO2020160529A1 (en) 2019-02-01 2020-08-06 Versum Materials Us, Llc Compositions and methods using same for silicon containing films
US20230098270A1 (en) * 2020-02-07 2023-03-30 Lam Research Corporation Precursors for high-temperature deposition of silicon-containing films
JP7254044B2 (ja) * 2020-03-25 2023-04-07 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01195277A (ja) * 1988-01-28 1989-08-07 Fujitsu Ltd 薄膜の形成方法
US20060228903A1 (en) * 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
JP2007201336A (ja) * 2006-01-30 2007-08-09 Hitachi Ltd 半導体積層体の形成方法
JP5421736B2 (ja) 2009-11-13 2014-02-19 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、及びプログラム
JP2011192875A (ja) * 2010-03-16 2011-09-29 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP5847566B2 (ja) * 2011-01-14 2016-01-27 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
US9643844B2 (en) * 2013-03-01 2017-05-09 Applied Materials, Inc. Low temperature atomic layer deposition of films comprising SiCN or SiCON
JP6155063B2 (ja) * 2013-03-19 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI636486B (zh) * 2015-04-14 2018-09-21 尤金科技有限公司 基板處理裝置
US10741396B2 (en) 2015-04-14 2020-08-11 Eugene Technology Co., Ltd. Substrate processing apparatus

Also Published As

Publication number Publication date
JP6125946B2 (ja) 2017-05-10
US20150044881A1 (en) 2015-02-12
KR20150018455A (ko) 2015-02-23
US9732426B2 (en) 2017-08-15
KR101553481B1 (ko) 2015-09-15
TWI563569B (zh) 2016-12-21
JP2015035477A (ja) 2015-02-19

Similar Documents

Publication Publication Date Title
TW201511131A (zh) 半導體裝置之製造方法,基板處理裝置及記錄媒體
TWI524389B (zh) 製造半導體裝置的方法、基板處理設備、及記錄媒體
TWI605496B (zh) 製造半導體裝置的方法、基板處理設備及記錄媒體
US9349586B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, substrate processing system and non-transitory computer-readable recording medium
TWI591748B (zh) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
KR102021708B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 기록 매체
US10090149B2 (en) Method of manufacturing semiconductor device by forming and modifying film on substrate
JP5886381B2 (ja) 半導体装置の製造方法、基板処理装置、プログラムおよび記録媒体
TW201637067A (zh) 半導體裝置之製造方法、基板處理裝置及記錄媒體
TWI606515B (zh) Semiconductor device manufacturing method, substrate processing device, and recording medium
JP6745887B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
KR102419555B1 (ko) 반도체 장치의 제조 방법, 기판 처리 방법, 기판 처리 장치 및 프로그램
CN109559974B (zh) 半导体器件的制造方法、衬底处理装置及记录介质
KR20190013428A (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
US10134586B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
JP6470468B2 (ja) 半導体装置の製造方法、基板処理装置、およびプログラム
JPWO2019012797A1 (ja) 半導体装置の製造方法、基板処理装置およびプログラム