TW201438074A - Cu配線之形成方法 - Google Patents

Cu配線之形成方法 Download PDF

Info

Publication number
TW201438074A
TW201438074A TW102145488A TW102145488A TW201438074A TW 201438074 A TW201438074 A TW 201438074A TW 102145488 A TW102145488 A TW 102145488A TW 102145488 A TW102145488 A TW 102145488A TW 201438074 A TW201438074 A TW 201438074A
Authority
TW
Taiwan
Prior art keywords
film
forming
wiring
treatment
wafer
Prior art date
Application number
TW102145488A
Other languages
English (en)
Inventor
Tadahiro Ishizaka
Kenji Suzuki
Atsushi Shimada
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of TW201438074A publication Critical patent/TW201438074A/zh

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K3/00Apparatus or processes for manufacturing printed circuits
    • H05K3/10Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern
    • H05K3/107Apparatus or processes for manufacturing printed circuits in which conductive material is applied to the insulating support in such a manner as to form the desired conductive pattern by filling grooves in the support with conductive material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • C23C14/354Introduction of auxiliary energy into the plasma
    • C23C14/358Inductive energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K1/00Printed circuits
    • H05K1/02Details
    • H05K1/03Use of materials for the substrate
    • H05K1/0306Inorganic insulating substrates, e.g. ceramic, glass
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05KPRINTED CIRCUITS; CASINGS OR CONSTRUCTIONAL DETAILS OF ELECTRIC APPARATUS; MANUFACTURE OF ASSEMBLAGES OF ELECTRICAL COMPONENTS
    • H05K2201/00Indexing scheme relating to printed circuits covered by H05K1/00
    • H05K2201/03Conductive materials
    • H05K2201/0332Structure of the conductor
    • H05K2201/0335Layered conductors or foils
    • H05K2201/0338Layered conductor, e.g. layered metal substrate, layered finish layer, layered thin film adhesion layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本發明係提供一種Cu配線之形成方法,可一面確保充分的填埋性、一面實現Cu配線之低電阻化。一種Cu配線之形成方法,係對於具有作為含Si膜之層間絕緣膜(表面形成有既定圖案之槽渠)的晶圓,形成填埋槽渠之Cu配線;具有下述製程:至少於槽渠之表面以CVD來形成因與基底之反應而成為自整合防護膜之Mn膜之製程;以PVD來形成Cu膜而將Cu膜填埋於槽渠內之製程;以及,以CMP將全面加以研磨而於槽渠內形成Cu配線之製程。

Description

Cu配線之形成方法
本發明係關於一種Cu配線之形成方法,可於基板所形成之槽渠、孔般凹部處形成Cu配線。
半導體元件之製造中,對半導體晶圓反覆進行成膜處理、蝕刻處理等各種處理來製造所希望之元件,近年來,對應於半導體元件之高速化、配線圖案之微細化、高積體化之要求,需要配線之低電阻化(導電性提升)以及電致遷移耐性之提升。
對應於如此之點,配線材料乃逐漸使用較鋁(Al)、鎢(W)有更高導電性(低電阻)且電致遷移耐性優異之銅(Cu)。
關於Cu配線之形成方法,有人提議一種技術,係對於形成有槽渠、孔之層間絕緣膜全體以作為PVD之電漿濺鍍來形成由鉭金屬(Ta)、鈦(Ti)、氮化鉭(TaN)、氮化鈦(TiN)等所構成之防護膜(barrier layer),再於防護膜上同樣以電漿濺鍍來形成Cu種晶膜,再於其上施以Cu鍍敷來完全填埋槽渠、孔,將晶圓表面之多餘銅薄膜以及防護膜以CMP(Chemical Mechanical Polishing)處理來進行研磨處理而去除(例如專利文獻1)。
先前技術文獻
專利文獻1 日本特開2006-148075號公報
但是,伴隨上述半導體元件之設計規則日益微細化,槽渠之寬度、孔徑成為數十nm,若於如此狹窄之槽渠、孔等凹部內形成Cu配線則配線電阻會上升。此外,如同專利文獻1,當以電漿濺鍍來形成防護膜、種晶膜後 以Cu鍍敷來填埋槽渠、孔之情況,會因為填埋性不充分而發生孔洞(void)等之問題。
本發明係鑑於此種情事所得者,其課題在於提供一種Cu配線之形成方法,可一面確保充分的填埋性、一面實現Cu配線之低電阻化。
本發明者,為了解決上述課題反覆檢討之結果,發現若於凹部內形成可和基底之含Si膜相反應而形成自整合防護膜的CVD-Mn膜,則可減少防護膜之體積,使得配線內之Cu體積最大化而將配線加以低電阻化,此外,若凹部以利用PVD之Cu來填埋則不會發生孔洞等,可兼顧配線之低電阻化與填埋性提升。
此外,若含Si膜之表面為疏水性,則以CVD來形成Mn膜之際無法得到充分的吸附基點(site),難以得到緻密且連續的Mn膜。此外,為了在含Si膜之上形成自整合防護膜,必須能進行MnO+SiO2→MnSiO3之反應,但含Si膜之表面若為疏水性則如此之反應將難以進行。對此,藉由對於含Si膜之表面施以表面處理以使其表面成為親水性表面,則原料氣體之吸附性變得良好而能以緻密且平滑的方式形成Mn膜,於此表面處理之際若對含Si膜之表面形成Si-OH,不僅表面為親水性且因為進行MnO+SiO2→MnSiO3之反應而容易形成矽酸錳所構成之自整合防護膜。
亦即,本發明係提供一種Cu配線之形成方法,係對於具有表面形成有既定圖案之凹部的含Si膜之基板,形成填埋該凹部之Cu配線者;具有下述製程:至少於該凹部之表面以CVD來形成因與基底之反應而成為自整合防護膜之Mn膜之製程;以PVD來形成Cu膜而將該Cu膜填埋於該凹部內之製程;以及,以CMP將全面加以研磨而於該凹部內形成Cu配線之製程。
於本發明中,較佳為於該Mn膜之成膜前,對該含Si膜之表面施以表面處理以使其表面成為親水性表面。該表面處理可包含以含過氧化氫之藥液所進行之處理。該表面處理能以在表面形成OH基、H基或是NH基的方式來進行。該表面處理可包含以構造中含有O-Si-OH之化合物所進行之處理。
此外,較佳為進一步具有:於形成該Mn膜之後、形成該Cu膜之前來形成Ru膜之製程。該Ru膜以CVD來形成為佳。
該Cu膜之形成較佳係藉由下述裝置而進行:於收容有基板之處理容器內以電漿生成氣體來生成電漿,從Cu靶使得粒子飛起而讓粒子在該電漿中離子化,並對該基板施加偏壓電力將離子拉引至基板上之裝置。
本發明係提供一種記憶媒體,係於電腦上作動,記憶有用以控制Cu配線形成系統之程式者;該程式於實行時係以進行上述Cu配線之形成方法的方式於電腦控制該Cu配線形成系統。
依據本發明,作為在凹部所形成之防護膜係形成可和基底之含Si膜相反應而形成自整合防護的CVD-Mn膜,且凹部以利用PVD之Cu所填埋,藉此,可一面確保充分的填埋性、一面實現Cu配線之低電阻化。
12a,12b‧‧‧Mn膜成膜裝置
14a,14b‧‧‧Ru襯裏膜成膜裝置
22a,22b‧‧‧Cu膜成膜裝置
100‧‧‧成膜系統
101‧‧‧表面處理部
102‧‧‧成膜處理部
103‧‧‧CMP處理部
201‧‧‧下部構造
202‧‧‧層間絕緣膜(含Si膜)
203‧‧‧槽渠
204‧‧‧Mn膜
205‧‧‧自整合防護膜
206‧‧‧Ru襯裏膜
207‧‧‧Cu膜
208‧‧‧Cu配線
W‧‧‧半導體晶圓(被處理基板)
圖1係顯示本發明之一實施形態之Cu配線之形成方法的流程圖。
圖2係用以說明本發明之一實施形態之Cu配線之形成方法之製程截面圖。
圖3係用以說明層間絕緣膜(Low-k膜)之疏水表面之圖。
圖4係用以說明將層間絕緣膜(Low-k膜)做親水化處理之際的親水表面之圖。
圖5係用以說明於槽渠形成Mn膜而和基底之層間絕緣膜相反應來形成自整合防護膜之機制之圖。
圖6係表示層間絕緣膜(Low-k膜)未經過親水化表面處理而形成Mn膜之情況、與施行了過氧化氫系藥液處理作為親水化表面處理後而形成Mn膜之情況下的膜狀態之SEM照片。
圖7係用以說明製作用以確認密合性之樣品的順序之圖。
圖8係顯示用以比較對層間絕緣膜(Low-k膜)施以TBOSL藥液處理作為親水化表面處理之後形成Cu-Mn合金膜再施以退火處理之樣品、未進行表面處理而形成Cu-Mn合金膜後施以退火處理之樣品兩者間防護性之二次離子分析結果之圖。
圖9係顯示本發明之Cu配線之形成方法所使用之成膜系統之概略構成方塊圖。
圖10係顯示圖9之成膜系統中成膜處理部之俯視圖。
圖11係顯示圖9之成膜系統中控制部之方塊圖。
圖12係顯示在圖10之成膜處理部所搭載之用以形成Cu膜之Cu膜成膜裝置之截面圖。
圖13係顯示在圖10之成膜處理部所搭載之用以形成Mn膜之Mn膜成膜裝置之截面圖。
以下,參見所附圖式針對本發明之實施形態來具體說明。
<Cu配線之形成方法之一實施形態>
首先,針對Cu配線之形成方法之一實施形態,參見圖1之流程圖以及圖2之製程截面圖來說明。
本實施形態中,首先,準備一於包含下層銅配線之下部構造201(省略詳細)上具有由SiO2膜、低介電係數(Low-k)膜(SiCO、SiCOH等)等含Si膜所構成之層間絕緣膜202的半導體晶圓(以下簡記為晶圓)W(步驟1,圖2(a))。
其次,蝕刻層間絕緣膜而以既定圖案來形成槽渠203以及對下層配線進行連接之通孔(未圖示),將作為蝕刻罩體之光阻以電漿清洗(ashing)來去除(步驟2,圖2(b))。
其次,對於形成了槽渠203以及通孔後之層間絕緣膜202施以表面處理來形成親水性表面(步驟3,圖2(c))。
其次,視必要性藉由脫氣(Degas)程序、預清洗(Pre-Clean)程序將絕緣膜表面之水分去除(步驟4,圖2中未圖示),之後,對於包含槽渠203以及通孔表面之全面以CVD(Chemical Vapor Deposition)來形成Mn膜204作為用以抑制Cu擴散之防護膜(步驟5,圖2(d))。
其次,於Mn膜與基底之層間絕緣膜202所反應形成之防護膜205上形成Ru襯裏膜206(步驟6,圖2(e))。此時之成膜以使用CVD為佳。
其次,以PVD來形成Cu膜,填埋槽渠203以及通孔(未圖示)(步驟7,圖2(f))。此時之成膜以iPVD(例如使用電漿濺鍍)為佳。此時,因應之後之平坦化處理,Cu膜207以從槽渠203之上面堆增的方式來形成為佳。其中,關於此堆增程度,除了利用PVD來連續形成,亦可改為利用鍍敷來形成。
之後,因應於必要性來進行退火處理(步驟8,圖2(g))。藉由此退火處理使得Cu膜207安定化。此外,可使得由矽酸錳所構成之防護膜205之形成不充分的情況能安定化。
之後,以CMP(Chemical Mechanical Polishing)對晶圓W表面之全面加以研磨,對應於Cu膜207之堆增程度來將Ru襯裏膜206、防護膜205予以去除而平坦化(步驟9,圖2(h))。藉此,於槽渠以及通孔(孔)內形成Cu配線208。
此外,於形成Cu配線208後,在包含晶圓W表面之Cu配線208以及層間絕緣膜202的全面處形成介電質蓋體(cap)、金屬蓋體等適宜的蓋體膜。
其次,針對以上一連串製程當中的主要製程做詳細說明。
上述步驟3之表面處理乃步驟4利用CVD形成Mn膜之際用以確保原料氣體之吸附基點的處理。
含Si膜之層間絕緣膜202表面多為疏水性。尤其Low-k膜如圖3所示般係以疏水基之甲基(-CH3)為末端,表面之疏水性程度高。當表面為疏水性之情況,難以吸附用以形成Mn膜所需之原料氣體,無法進行充分的核生成。因此成為島狀的膜成長,難以緻密且平滑地形成連續性薄膜。從配線低電阻化之觀點來看,Mn膜204之膜厚被要求在2nm以下如此非常薄的厚度,但此種島狀之膜成長會使得形成如此之薄膜成為困難。
因此,於含Si膜之層間絕緣膜202(例如Low-k膜)之表面進行表面處理使其表面成為親水性表面,如圖4所示般,表面之甲基(-CH3)以例如H基(-H)或是OH基(-OH)來置換,而將疏水表面變化為親水表面,使得表面活性化。附帶一提,Si-CH3之偶極矩為0.8759,相對於此,Si-OH之偶極矩則較高為1.3339,活性更高。因此,變得容易吸附原料氣體(-H、-OH成為吸附基點),增加Mn之核生成基點。藉此,層間絕緣膜202之表面核密度上升,其成長成為緻密且平滑的連續性Mn膜。從而,能以緻密薄膜的方式來形成Mn膜204。此外,在親水性表面方面,亦可為表面具有NH基(-NH)者。
此外,為了在含Si膜上形成自整合防護膜,必須有熱力學上MnO+SiO2→MnSiO3此種反應,藉由在含Si膜之層間絕緣膜202上利用CVD法 來形成Mn膜或是MnO膜可產生此反應。但是,Mn氧化物除了MnO以外尚存在著Mn3O4、Mn2O3、MnO2,方便起見將Mn3O4、Mn2O3、MnO2表記為MnO。為了使得Mn、MnO在熱力學上穩定存在則H2成為必要,當層間絕緣膜202之表面係以疏水基之甲基(-CH3)為末端而呈現疏水性之情況難以進行上述反應。對此,若含Si膜之表面存在著Si-OH,則層間絕緣膜202之表面成為親水性而能以緻密且平滑膜的方式來形成Mn膜,除了此種效果外,上述反應變得容易進行,也可得到容易形成自整合防護膜之效果。
對於層間絕緣膜202之表面所施以如此之表面處理,以包含有含過氧化氫之藥液(過氧化氫系藥液)之處理為佳。此處理可將晶圓W浸漬於例如過氧化氫系藥液中來進行。藉由此處理,藥液中之過氧化氫容易和層間絕緣膜202表面的疏水基反應而形成OH基,可極度提高原料氣體之吸附性。因此,藉由此處理,可極度提高表面之Mn的核密度,容易緻密且平滑地形成連續性Mn膜。此外,藉由此處理,由於在含Si膜之層間絕緣膜202之表面形成Si-OH,故MnO+SiO2→MnSiO3之反應變得容易進行,而容易形成自整合防護膜。
如此之表面處理,也可包含兼做為殘渣去除之N2電漿處理。藉由N2電漿處理來去除蝕刻殘渣,並於層間絕緣膜202之表面形成NH基(-NH)而形成親水性表面。因此,成為原料氣體容易吸附之狀態,可提高核密度並以緻密且平滑之連續膜的方式來形成Mn膜。
此N2電漿處理雖可單獨進行,但核密度上升效果在使用有上述過氧化氫系藥液處理之情況較高,此外,單獨以N2電漿處理無法形成促進MnO+SiO2→MnSiO3之反應的Si-OH。因此,基於兼顧殘渣去除與層間絕緣膜202之表面親水化兩者目的、以及於表面形成Si-OH而容易形成自整合防護膜之觀點,較佳為進行過N2電漿處理後再進行過氧化氫系藥液處理。
此外,如此之表面處理亦可較佳包含使用構造中具有O-Si-OH之化合物所進行之處理。藉由使用如此化合物之處理亦可於作為含Si膜之層間絕緣膜202表面形成Si-OH,使得層間絕緣膜202之表面成為親水性,且可促進MnO+SiO2→MnSiO3之反應。
構造中具有O-Si-OH之化合物可舉出例如三(特丁氧基)矽醇(TBOSL;((CH3)3CO)3SiOH)、三(特戊氧基)矽醇(TPOSL;(CH3CH2C(CH3)2O)3SiOH)、三(異丙氧基)矽醇(TIPOSL;((CH3)2CHO)3SiOH)。此等構造式如以下所述。
構造中具有O-Si-OH之化合物所進行之表面處理亦可使用含有如此化合物之藥液來進行,亦可使用含有如此化合物之氣體來進行。
此外,上述表面處理也可包含O2電漿處理等其他電漿處理以及其他藥液處理。
此外,上述表面處理由於可為僅針對層間絕緣膜202之表面的處理,所以不會對膜內部造成損傷。例如,當層間絕緣膜202為Low-k膜之情況,若親水化直到內部則膜之介電係數會上升,而僅為表面之處理則不會產生如此之介電係數的上升。為了僅對表面進行處理,必須留意處理時間等以免親水化到內部。當表面處理使用上述O2電漿處理之情況,由於反應性高,尤其需要注意條件設定。
其次,針對Mn膜204以及防護膜205來說明。
Mn膜204如上述般係利用CVD(Chemical Vapor Deposition)而成膜。也能以CVD之一種的ALD(Atomic Layer Deposition)來成膜。當以CVD來形成Mn膜之際的熱不充分的情況下,可對該熱加入之後所提供之熱(例如退火處理等),則Mn可和基底之Si起反應而形成由矽酸錳(MnSiOx(其中,x為3或是4))所構成之自整合防護膜205。亦即,如圖5(a)所示般,Mn膜204由於會和作為基底之層間絕緣膜202中所含之Si起反應,故如圖5(b)所示般,防護膜205可形成於作為基底之層間絕緣膜202側。因此,可減少防護膜在孔、槽渠等凹部內之體積,可使得凹部內之防護膜之體積接近於0。從而,可增加配線中Cu之體積而實現配線之低電阻化。
其中,當層間絕緣膜202使用Low-k膜之情況,疏水基之甲基(-CH3)成為末端,表面疏水性之程度高,難以產生自整合防護形成反應之MnO+SiO2→MnSiO3之反應。相對於此,如上述般,於表面處理之際藉由對表面施以形成Si-OH之表面處理,可容易產生上述反應,而有效地形成由矽酸錳所構成之自整合防護層。
基於增加配線中Cu體積之觀點,Mn膜204以愈薄愈佳,以2nm以下為佳。
以CVD來形成Mn膜之情況,藉由供給錳化合物氣體而於晶圓上產生熱分解、或是將錳化合物氣體與還原氣體供給於晶圓上使得錳化合物氣體還原以成膜。
錳化合物氣體可適宜使用十羰基2錳(Mn2(CO)10)或甲基環戊二烯基三羰基錳((CH3C5H4)Mn(CO)3)般之羰基系錳化合物。尤其,Mn2(CO)10由於構造單純,而可期待雜質少之Mn膜之成膜。此外,如後述般,當下一Ru襯裏膜206之形成係使用羰基系釕化合物以CVD來進行之情況,尤其以此等羰基系錳化合物為佳。
此外,以通式Mn(RC5H4)2所表示之雙(烷基環戊二烯基)錳般之環戊二烯基系錳化合物、雙(2,2,6,6-四甲基-3,5-庚二酮)錳(Mn(C11H19O2)2)之β-二酮系錳化合物、美國公報US2009/0263965A1號所揭示之以通式Mn(R1N-CR3-NR2)2表示之雙(N,N'-二烷基乙脒(acetoamidinato))錳般之脒系錳化合物、或是國際公開第2012/060428號所揭示之以通式Mn(R1N-Z-NR22)2所表 示之雙(N,N'-1-烷基醯胺-2-二烷基胺基烷)錳般之醯胺胺基烷系錳化合物也可適宜使用。此處,前述R、R1、R2、R3為以-CnH2n+1(n為0以上之整數)記述之烷基,前述Z為以-CnH2n-(n為0以上之整數)記述之伸烷基。從低溫成膜之觀點來看,以醯胺胺基烷系錳化合物為佳。
在還原氣體方面可使用氫氣體、一氧化碳(CO)氣體、甲醛(HCHO)等醛類(R-CHO)氣體、甲酸(HCOOH)等羧酸(R-COOH)氣體。
關於成膜溫度,當使用羰基系錳化合物之情況為250~400℃,當使用醯胺胺基烷系錳化合物之情況為250~300℃,當使用脒系錳化合物之情況為350~400℃程度。此外,成膜之際之處理容器內之壓力為1.33~133Pa之範圍。
其次,針對Ru襯裏膜206來說明。
Ru由於對Cu之潤濕性高,故藉由在Cu之基底形成Ru襯裏膜,在後續以iPVD來形成Cu膜之際,可確保良好的Cu移動性,可避免產生將槽渠、孔之側緣予以阻塞之外懸(overhung)。因此,於微細的槽渠或是孔不會發生孔洞可確實地填埋Cu。
從增加填埋Cu之體積使得配線低電阻化之觀點來看,Ru襯裏膜以薄至1~5nm來形成為佳。
Ru襯裏膜206可將釕羰基(Ru3(CO)12)作為成膜原料來使用而藉由熱CVD適宜地形成。藉此,能以高階梯覆蓋來成膜出高純度之薄的Ru膜。此時之成膜條件例如處理容器內之壓力在1.3~66.5Pa之範圍,成膜溫度(晶圓溫度)在150~250℃之範圍。Ru襯裏膜206也可使用釕羰基以外之其他成膜原料例如(環戊二烯基)(2,4-二甲基戊二烯基)釕、雙(環戊二烯基)(2,4-甲基戊二烯基)釕、(2,4-二甲基戊二烯基)(乙基環戊二烯基)釕、雙(2,4-甲基戊二烯基)(乙基環戊二烯基)釕等釕之戊二烯基化合物並以CVD、PVD來成膜。
此外,當槽渠、通孔之側緣寬而不易發生外懸之情況等,未必要形成Ru襯裏膜206,也可於防護膜205上直接形成Cu膜。
其次,針對Cu膜207之成膜來說明。
Cu膜207係以PVD來成膜,但如上述般,以使用iPVD例如電漿濺鍍為佳。
於通常之PVD成膜之情況,隨著Cu之凝集,容易發生阻塞槽渠、孔之側緣的外懸,但藉由使用iPVD並調整對晶圓所施加之偏壓功率,控制Cu離子之成膜作用與電漿生成氣體之離子(Ar離子)所致蝕刻作用,可移動Cu而抑制外懸之生成,即便是狹窄開口之槽渠、孔也能得到良好的填埋性。此時,從賦予Cu之流動性而得到良好填埋性之觀點,以Cu進行遷移之高溫程序(65~350℃)為佳。此外,如上述般,藉由在Cu膜207之基底設置對Cu之潤濕性高的Ru襯裏膜206,則Cu不會凝集在Ru襯裏膜上而可流動,故即便是微細的凹部也可抑制外懸之生成,不會發生孔洞而可確實地填埋Cu。
此外,當槽渠、孔之開口幅度大的情況等,不易生成外懸之情況,可藉由Cu不會遷移之低溫程序(-50~0℃)而以高速來成膜。
此外,Cu膜成膜時之處理容器內之壓力(程序壓力)以1~100mTorr(0.133~13.3Pa)為佳,35~90mTorr(4.66~12.0Pa)為更佳。
依據本實施形態,對於在含Si膜之層間絕緣膜202所形成的槽渠203、孔,形成CVD-Mn膜(可和層間絕緣膜202之Si反應而形成自整合防護膜),藉此,可減少防護膜205之體積,使得配線內之Cu體積最大化而將配線低電阻化。此外,由於Cu膜以PVD填埋於槽渠、孔而形成Cu配線,可防止以Cu鍍敷來進行填埋之情況下產生孔洞。因此,可兼顧配線之低電阻化與填埋性提升。
此外,由於在Mn膜形成前先於層間絕緣膜202之表面施以表面處理使其表面成為親水性表面,故CVD-Mn膜成膜之際,原料氣體之吸附性成為良好能以緻密且平滑膜的方式形成Mn膜。
此外,作為如此之表面處理,藉由進行過氧化氫系藥液處理或利用構造中含有O-Si-OH之化合物所進行之處理等可於層間絕緣膜202之表面形成親水性Si-OH之處理,除了上述Mn膜之緻密化以及平滑化效果以外,並可容易產生MnO+SiO2→MnSiO3之反應,可發揮容易形成自整合防護膜之效果。
其次,針對確認實際進行如此之表面處理之情況的效果的實驗來說明。
〔實驗1〕
此實驗中,針對層間絕緣膜使用Low-k膜(SiCOH系;k=2.4))而並未對Low-k膜之表面進行表面處理者、以及經過N2電漿處理後施行過氧化氫系藥液處理而進行了表面處理者,在265℃進行脫氣處理,之後,使用十羰基2錳(Mn2(CO)10)以CVD在300℃形成Mn膜。
於Mn膜成膜開始後30sec與60sec之掃描型顯微鏡(SEM)照片如圖6所示。如此圖所示般,未進行親水化表面處理者Mn粒大、表面粗糙,無法得到連續膜,相對於此,進行了親水化表面處理者粒微細且緻密而可得到平滑的連續膜。
〔實驗2〕
此實驗中,如圖7所示般,針對層間絕緣膜使用Low-k膜(SiCOH系;k=2.4))但並未對Low-k膜之表面進行表面處理者、以及浸漬於TBOSL藥液而經過表面處理者,利用PVD以200nm之厚度來形成Cu-1at%Mn合金膜,於其上利用PVD以10nm之厚度來形成Ta膜而製作樣品,針對此等樣品,以200℃施行1hr之退火,於Low-k膜與Cu-Mn合金膜之間形成MnO之後,為了形成自整合防護層而以400℃施行了30min之退火。
之後,針對此等樣品在200℃之退火後與400℃之退火後為了評價膜之密合性而進行了膠帶剝離試驗。膠帶剝離試驗係以棋盤格試驗法來進行。其結果表示於表1。
如表1所示般,未對於Low-k膜之表面進行表面處理者,即便於用以形成自整合防護層之400℃的退火處理後僅在極為一小部分處殘留棋盤格,密合性不充分。相對於此,將Low-k膜浸漬於TBOSL藥液而施行了表面處理之情況,於400℃之退火處理後有一半以上並未剝離而殘存。此 結果暗示了對於Low-k膜之表面施行浸漬於TBOSL藥液中之表面處理後進行退火會於界面處形成由矽酸錳(MnSiOx)所構成之防護膜。
其次,針對以TBOSL進行過處理者與未進行處理者利用深度方向之二次離子分析來確認防護性。其結果如圖8所示。圖8(a)係對於Low-k膜之表面進行過浸漬於TBOSL藥液之表面處理後,利用PVD以200nm之厚度來形成Cu-1at%Mn合金膜,並於其上利用PVD以10nm之厚度來形成Ta膜的樣品,以200℃施行1小時退火後,在400℃施行30min退火後的結果,圖8(b)係未對於Low-k膜之表面進行表面處理即利用PVD以20nm之厚度來形成Cu-1at%Mn合金膜,進而利用PVD以300nm之厚度來形成Cu膜之樣品,以400℃施行30min退火後之結果。從結果可確認,若浸漬於TBOSL藥液中進行過表面處理後再進行退火處理,可提高防護性。從此結果可確認:對於Low-k膜之表面施行浸漬於TBOSL藥液之表面處理後進行退火來於界面形成由矽酸錳(MnSiOx)所構成之防護膜,藉此可提高對於Cu膜之防護性。
此外,上述一連串製程當中,形成Mn膜204之步驟5、形成Ru襯裏膜206之步驟6、形成Cu膜207之步驟7較佳為在真空中未經過大氣暴露而連續成膜,然此等任一之間也可經大氣暴露。
<本發明之實施形態之實施上較佳成膜系統>
其次,針對本發明之實施形態之Cu配線形成方法實施上較佳成膜系統來說明。圖9顯示本發明之實施形態之Cu配線形成方法實施上較佳系統之概略構成方塊圖,圖10係顯示構成圖9之成膜處理部之多腔室類型成膜系統之一例的俯視圖,圖11係顯示圖9之控制部之方塊圖。
如圖9所示般,成膜系統100具有:表面處理部101,對層間絕緣膜施以用以形成親水性表面之表面處理;成膜處理部102,進行之後之成膜處理;CMP處理部103,進行CMP處理;以及控制部104,用以控制此成膜系統100之各構成部。
表面處理部101係用以進行上述表面處理者,具有過氧化氫系藥液處理裝置或N2電漿處理裝置或是此兩者、或是利用構造中含有O-Si-OH之化合物進行處理之處理裝置,以及附隨於此等之搬送裝置等。
成膜處理部102具有:第1處理部2,形成防護膜以及Ru襯裏膜;第2處理部3,形成Cu膜;以及搬出入部4,為用以對晶圓W形成Cu配線者,進行至上述實施形態中Cu膜之形成為止。
第1處理部2具有:第1真空搬送室11,平面形狀成為七角形;2個Mn膜成膜裝置12a,12b,連接於和此第1真空搬送室11之4邊對應之壁部;以及,2個Ru襯裏膜成膜裝置14a,14b。Mn膜成膜裝置12a以及Ru襯裏膜成膜裝置14a係和Mn膜成膜裝置12b以及Ru襯裏膜成膜裝置14b配置於線對稱之位置。
在和第1真空搬送室11之其他2邊對應之壁部分別連接著進行晶圓W之脫氣處理的脫氣室5a,5b。此外,於第1真空搬送室11之脫氣室5a與5b之間的壁部連接著傳輸室5,在第1真空搬送室11與後述第2真空搬送室21之間進行晶圓W之傳輸。
Mn膜成膜裝置12a,12b、Ru襯裏膜成膜裝置14a,14b、脫氣室5a,5b、以及傳輸室5係於第1真空搬送室11之各邊經由閘閥G而連接,此等藉由開放對應之閘閥G而和第1真空搬送室11連通,並藉由關閉對應之閘閥G而從第1真空搬送室11被遮斷。
第1真空搬送室11內被保持在既定真空雰圍,於其中設置有第1搬送機構16,對Mn膜成膜裝置12a,12b、Ru襯裏膜成膜裝置14a,14b、脫氣室5a,5b、以及傳輸室5進行晶圓W之搬出入。此第1搬送機構16配置於第1真空搬送室11之大致中央,具有可進行旋轉以及伸縮之旋轉伸縮部17,於該旋轉伸縮部17之前端設有支撐晶圓W之2個支撐臂18a,18b,此等2個支撐臂18a,18b係以相互朝向相反方向的方式裝設在旋轉伸縮部17。
第2處理部3具有:第2真空搬送室21,平面形狀成為七角形;2個Cu膜成膜裝置22a,22b,連接於和此第2真空搬送室21之對向的2個邊相對應的壁部,用以填埋槽渠、通孔等凹部。Cu膜成膜裝置22a,22b可當作從凹部之填埋到堆增部之成膜為止通盤進行之裝置使用,也可將Cu膜成膜裝置22a,22b僅作為填埋使用,而藉由鍍敷來形成堆增部。
在第2真空搬送室21對應於第1處理部2側的2邊之壁部分別連接著上述脫氣室5a,5b,於脫氣室5a與5b之間的壁部連接著上述傳輸室5。亦 即,傳輸室5以及脫氣室5a以及5b均設置於第1真空搬送室11與第2真空搬送室21之間,於傳輸室5之兩側配置著脫氣室5a以及5b。再者,於搬出入部4側的2邊分別連接著可進行大氣搬送以及真空搬送之加載互鎖室6a,6b。
Cu膜成膜裝置22a,22b、脫氣室5a,5b、以及加載互鎖室6a,6b係於第2真空搬送室21之各邊經由閘閥G而連接,此等藉由開放對應之閘閥而和第2真空搬送室21連通,並藉由關閉對應之閘閥G而從第2真空搬送室21被遮斷。此外,傳輸室5並未經由閘閥而連接於第2搬送室21。
第2真空搬送室21內被保持在既定真空雰圍,其中設置有第2搬送機構26,用以對Cu膜成膜裝置22a,22b、脫氣室5a,5b、加載互鎖室6a,6b以及傳輸室5進行晶圓W之搬出入。此第2搬送機構26配置於第2真空搬送室21之大致中央,具有可旋轉以及伸縮之旋轉伸縮部27,於該旋轉伸縮部27之前端設有支撐晶圓W之2個支撐臂28a,28b,此等2個支撐臂28a,28b係以相互朝相反方向的方式裝設於旋轉伸縮部27。
搬出入部4和第2處理部3係夾著上述加載互鎖室6a,6b而設置於相反側,具有連接著加載互鎖室6a,6b之大氣搬送室31。於加載互鎖室6a,6b與大氣搬送室31之間的壁部設有閘閥G。在和大氣搬送室31連接著加載互鎖室6a,6b之壁部相對向的壁部處設有2個連接埠32,33,連接於收容晶圓W作為被處理基板之載具C。於此等連接埠32,33分別設有未圖示之擋門,於此等連接埠32,33直接安裝著收容有晶圓W之狀態的載具C或是空的載具C,此時移開擋門而一面防止外氣之侵入一面和大氣搬送室31連通。此外,於大氣搬送室31之側面設有對準室34,以進行晶圓W之對準。於大氣搬送室31內設有大氣搬送用搬送機構36,以對載具C進行晶圓W之搬出入以及對加載互鎖室6a,6b進行晶圓W之搬出入。此大氣搬送用搬送機構36具有2個多關節臂,可沿著載具C之排列方向而行走於軌道38上,於個別前端之手部37上載放晶圓W並進行搬送。
CMP處理部103係由CMP裝置以及附隨之搬送裝置等所構成。
如圖11所示般,控制部104具備有:由微處理器(電腦)所構成之程序控制器41,係實行表面處理部101、成膜處理部102、CMP處理部103之各構成部之控制;使用者介面42,係由操作者用以管理成膜系統100而進 行指令輸入操作等之鍵盤、將成膜系統100之運轉狀況加以可視化顯示之顯示器等所構成;以及記憶部43,儲存有以程序控制器41之控制來實現在成膜系統100所實行之處理的控制程式、各種數據、以及用以因應於處理條件而在處理裝置之各構成部實行處理之程式亦即配方。此外,使用者介面42以及記憶部43係和程序控制器41連接著。
上述配方係儲存於記憶部43中之記憶媒體43a。記憶媒體可為硬碟亦可為CDROM、DVD、快閃記憶體等可攜式媒體。此外,也可從其他裝置例如經由專用配線而適宜地輸送配方。
此外,視必要性以來自使用者介面42之指示等從記憶部43呼叫出任意配方而於程序控制器41來實行,藉此,在程序控制器41之控制下,於成膜系統100進行所希望之處理。
於如此之成膜系統100中,將收容有經過蝕刻以及電漿清洗後之晶圓的載具C搬送到表面處理部101,對晶圓施以用以形成親水性表面之表面處理。
收容有表面處理後之晶圓的載具C被搬送到成膜處理部102。然後從載具C以大氣搬送用搬送機構36將具有槽渠、通孔等凹部之形成了既定圖案之晶圓W取出,搬送到加載互鎖室6a或是6b,將該加載互鎖室減壓至和第2真空搬送室21為同程度之真空度後,以第2搬送機構26來取出加載互鎖室之晶圓W,經由第2真空搬送室21來搬送到脫氣室5a或是5b,進行晶圓W之脫氣處理。之後,以第1搬送機構16來取出脫氣室之晶圓W,經由第1真空搬送室11搬入到Mn膜成膜裝置12a或是12b,形成Mn膜作為用以形成上述自整合防護膜者。於Mn膜成膜後,以第1搬送機構16從Mn膜成膜裝置12a或是12b取出晶圓W,搬入Ru襯裏膜成膜裝置14a或是14b,形成上述Ru襯裏膜。於Ru襯裏膜成膜後,以第1搬送機構16從Ru襯裏膜成膜裝置14a或是14b取出晶圓W,搬送到傳輸室5。之後,藉由第2搬送機構26取出晶圓W,經由第2真空搬送室21搬入到Cu膜成膜裝置22a或是22b,形成Cu膜來對於槽渠、通孔等凹部進行Cu之填埋。此時,可統括形成至堆增部,或是在Cu膜成膜裝置22a或是22b僅進行填埋,而利用鍍敷來形成堆增部。
Cu膜之形成後,將晶圓W搬送到加載互鎖室6a或是6b,使得該加載互鎖室回到大氣壓後,以大氣搬送用搬送機構36將形成有Cu膜之晶圓W取出,回到載具C。如此之處理依據載具內之晶圓W數量來反覆進行。
之後,將結束成膜處理之載具C搬送到CMP處理部103,進行CMP處理。
依據成膜系統100,可對於蝕刻/電漿處理後之晶圓統括進行表面處理、成膜處理、CMP處理。此外,成膜處理部102由於並未開放於大氣中而是在真空中形成自整合防護膜形成用Mn膜、Ru襯裏膜、Cu膜,而可防止於各膜之界面出現氧化,可得到高性能之Cu配線。
此外,當堆增層以Cu鍍敷來形成之情況,係於形成Cu膜後搬出晶圓W。
<Cu成膜裝置>
其次,針對形成Cu膜之Cu膜成膜裝置22a,22b之較佳例來說明。圖12係顯示Cu膜成膜裝置之一例的截面圖。
此處以作為Cu膜成膜裝置之iPVD亦即ICP(Inductively Coupled Plasma)型電漿濺鍍裝置為例來說明。
如圖12所示般,此Cu膜成膜裝置22a(22b)具有例如鋁等成形為筒體狀之處理容器51。此處理容器51處於接地狀態,其底部52設有排氣口53,於排氣口53連接著排氣管54。於排氣管54連接著進行壓力調整之節流閥55以及真空泵56,處理容器51內可被抽真空。此外於處理容器51之底部52設有對處理容器51內導入既定氣體之氣體導入口57。此氣體導入口57連接著氣體供給配管58,於氣體供給配管58連接著用以供給作為電漿激發用氣體之稀有氣體例如Ar氣體、其他必要氣體(例如N2氣體)等之氣體供給源59。此外,於氣體供給配管58介設有氣體流量控制器、閥等所構成之氣體控制部60。
於處理容器51內設有用以載置被處理基板之晶圓W的載置機構62。此載置機構62具有:成形為圓板狀之載置台63;中空筒體狀之支柱64,係支撐此載置台63並處於接地狀態。載置台63係由例如鋁合金等導電性材料所構成,經由支柱64而接地。於載置台63之中設有冷卻夾套65,經 由未圖示之冷媒流路而供給冷媒。此外,載置台63內在冷卻夾套65之上埋設有由絕緣材料所被覆之電阻加熱器87。電阻加熱器87係從未圖示之電源被供電。於載置台63設有熱電偶(未圖示),基於此熱電偶所檢測之溫度來控制對於冷卻夾套65之冷媒供給以及對電阻加熱器87之供電,藉此可控制晶圓溫度在既定溫度。
於載置台63之上面側設有例如在氧化鋁等介電質構件66a中填埋電極66b而構成之薄圓板狀靜電夾66,可將晶圓W以靜電力加以吸附保持。此外,支柱64之下部係將在處理容器51之底部52中心部所形成之插通孔67加以貫通而往下方延伸。支柱64可藉由未圖示之升降機構來上下移動,藉此,載置機構62之全體受到升降。
以包圍支柱64的方式設有可伸縮方式構成之蛇腹狀金屬波紋管68,此金屬波紋管68其上端和載置台63之下面氣密性接合,其下端和處理容器51之底部52上面氣密性接合,可一面維持處理容器51內之氣密性、一面容許載置機構62之升降移動。
於底部52處朝上方有例如3根(圖12中僅顯示2根)之支撐銷69直立設置,此外,對應於此支撐銷69在載置台63形成有銷插通孔70。從而,當使得載置台63下降之際,能以貫通銷插通孔70之支撐銷69之上端部來支承晶圓W,並使得該晶圓W在從外部侵入之搬送臂(未圖示)之間進行移載。因此,於處理容器51之下部側壁設有用以使得搬送臂侵入之搬出入口71,於此搬出入口71設有可開閉之閘閥G。於此閘閥G之相反側設有前述第2真空搬送室21。
於上述靜電夾66之電極66b經由供電線路72連接著夾具用電源73,從此夾具用電源73對電極66b施加直流電壓,藉此,晶圓W被靜電力所吸附保持。此外於供電線路72連接著偏壓用高頻電源74,經由此供電線路72對靜電夾66之電極66b供給偏壓用高頻電力,而對晶圓W施加偏壓電力。此高頻電力之頻率以400kHz~60MHz為佳,例如採用13.56MHz。
另一方面,於處理容器51之天花板部,例如由氧化鋁等介電質所構成而對高頻具穿透性的穿透板76係經由O型環等密封構件77而被氣密設置。此外,於此穿透板76之上部設有電漿產生源78,用以在處理容器51內之處理空間S將作為電漿激發用氣體之稀有氣體例如Ar氣體加以電漿化 而產生電漿。此外,此電漿激發用氣體可取代Ar而改用其他稀有氣體例如He、Ne、Kr等。
電漿產生源78具有對應於穿透板76所設之感應線圈80,於此感應線圈80連接著電漿產生用之例如13.56MHz之高頻電源81,經由上述穿透板76而對處理空間S導入高頻電力形成感應電場。
此外於穿透板76正下方設有使得被導入之高頻電力擴散之例如鋁所構成之緩衝板82。此外,於此緩衝板82之下部以包圍上述處理空間S之上部側方的方式設有例如截面朝內側傾斜之由環狀(截頭圓錐殼狀)之Cu所構成之靶83,此靶83連接著施加用以拉引Ar離子之直流電力的靶用電壓可變式直流電源84。此外,一可取代直流電源改用交流電源。
此外,於靶83之外周側設有用以賦予磁場之磁石85。靶83藉由電漿中之Ar離子而以Cu之金屬原子或是金屬原子團的形式被濺擊,且通過電漿中之際常被離子化。
此外於此靶83之下部以包圍上述處理空間S的方式設有由例如鋁、銅所構成之圓筒狀保護蓋構件86。此保護蓋構件86處於接地狀態,且其下部朝內側彎曲而位於載置台63之側部附近。從而,保護蓋構件86之內側端部係以包圍載置台63之外周側的方式被設置。
以此方式構成之Cu膜成膜裝置中,將晶圓W朝圖12所示處理容器51內搬入,將此晶圓W載置於載置台63上以靜電夾66進行吸附,在控制部104之控制下進行以下之動作。此時,載置台63基於熱電偶(未圖示)所檢測之溫度來控制對冷卻夾套65之冷媒供給以及對電阻加熱器87之供電以控制溫度。
首先,啟動真空泵56將處理容器51調整為既定真空狀態,然後操作氣體控制部60以既定流量來流通Ar氣體並控制節流閥55將處理容器51內維持在既定真空度。之後,從可變直流電源84將直流電力施加於靶83,進而從電漿產生源78之高頻電源81對感應線圈80供給高頻電力(電漿電力)。另一方面,從偏壓用高頻電源74對靜電夾66之電極66b供給既定偏壓用高頻電力。
藉此,於處理容器51內,藉由被供給於感應線圈80之高頻電力來形 成氬電漿而生成氬離子,此等離子被拉引至施加於靶83之直流電壓而衝撞於靶83,此靶83受到濺擊而釋放粒子。此時,因著施加於靶83之直流電壓使得被釋放之粒子量控制在最適量。
此外,來自濺鍍靶83之粒子通過電漿中之際多數被離子化。此處從靶83釋放之粒子成為離子化者與電性呈中性之中性原子相混存之狀態而往下方飛散而去。尤其,藉由將此處理容器51內之壓力提高某種程度來提高電漿密度,可將粒子高效率地離子化。此時之離子化率被高頻電源81所供給之高頻電力所控制。
此外,離子一旦由於從偏壓用高頻電源74施加到靜電夾66之電極66b的偏壓用高頻電力而進入到在晶圓W面上所形成之厚度數mm程度的離子鞘區域,將會擁有強定向性往晶圓W側加速受到拉引而沉積於晶圓W形成Cu膜。
此時,將晶圓溫度設定為高溫(65~350℃),並對於從偏壓用高頻電源74對靜電夾66之電極66b所施加之偏壓功率進行調整來調整Cu之成膜與基於Ar之蝕刻,使得Cu之流動性成為良好,藉此,即便是開口狹窄之槽渠、孔也能以良好填埋性來填埋Cu。
基於得到良好填埋性之觀點,處理容器51內之壓力(程序壓力)為1~100mTorr(0.133~13.3Pa),較佳為35~90mTorr(4.66~12.0Pa),而對於靶之直流電力為4~12kW,較佳為6~10kW。
此外,當槽渠、孔之開口寬之情況等,可將晶圓溫度設定為低溫(-50~0℃),並降低處理容器51內之壓力來進行成膜。藉此,可提高成膜速率。此外,於如此之情況下,不限於iPVD,亦可使用通常之濺鍍、離子佈植等通常的PVD。
<Mn膜成膜裝置>
其次,針對用以形成Mn膜之Mn膜成膜裝置12a(12b)來說明。Mn膜能以熱CVD來適宜形成。圖13係顯示Mn膜成膜裝置之一例的截面圖,乃利用熱CVD來形成Mn膜。
如圖13所示般,此Mn膜成膜裝置12a(12b)具有例如以鋁等形成為筒體之處理容器111。於處理容器111之內部配置著用以載置晶圓W之例如AlN等陶瓷所構成之載置台112,於此載置台112內設有加熱器113。此加 熱器113係從加熱器電源(未圖示)受到供電而發熱。
於處理容器111之頂壁處,將用以形成Mn膜之處理氣體、沖洗氣體等往處理容器111內以淋灑狀導入的淋灑頭114係以對向於載置台112的方式而設置。淋灑頭114於其上部具有氣體導入口115,於其內部形成有氣體擴散空間116,其底面則形成有多數氣體噴出孔117。於氣體導入口115連接著氣體供給配管118,於氣體供給配管118連接著用以供給Mn膜形成用之處理氣體、沖洗氣體等之氣體供給源119。此外,於氣體供給配管118介設有氣體流量控制器、閥等所構成之氣體控制部120。用以成膜出Mn之氣體如上述般較佳可舉出十羰基2錳(Mn2(CO)10)。此十羰基2錳會由於熱分解而形成Mn膜。
於處理容器111之底部設有排氣口121,此排氣口121連接著排氣管122。於排氣管122連接著用以進行壓力調整之節流閥123以及真空泵124,可將處理容器111內加以抽真空。
於載置台112處,晶圓搬送用3根(僅2根圖示)之晶圓支撐銷126以相對於載置台112之表面可突出埋没的方式設置,此等晶圓支撐銷126被固定於支撐板127。此外,藉由汽缸等驅動機構128來升降桿體129,則晶圓支撐銷126經由支撐板127而受到升降。此外,符號130為波紋管。另一方面,於處理容器111之側壁形成有晶圓搬出入口131,於閘閥G開放之狀態下在其與第1真空搬送室11之間進行晶圓W之搬出入。
於如此之Mn膜成膜裝置12a(12b)中,開放閘閥G將晶圓W載置於載置台112上之後,關閉閘閥G,對處理容器111內以真空泵124進行排氣而將處理容器111內調整為既定壓力、並從加熱器113透過載置台112來將晶圓W加熱至既定溫度之狀態下,從氣體供給源119經由氣體供給配管118以及淋灑頭114對於處理容器111內導入十羰基2錳(Mn2(CO)10)氣體等處理氣體。藉此,於晶圓W上進行處理氣體之反應,於晶圓W之表面形成Mn膜。
Mn膜之成膜可使用上述錳羰基以外之其他成膜原料。
<Ru襯裏膜成膜裝置>
Ru襯裏膜成膜裝置14a、14b僅將成膜氣體變更為例如釕羰基(Ru3(CO)12),可直接使用圖13之Mn成膜裝置,藉此,釕羰基受熱分解而 可利用熱CVD來進行Ru襯裏膜之成膜。此外,也可使用相同裝置藉由上述其他CVD用原料來形成Ru襯裏膜。
此外,Ru襯裏膜亦能以PVD來成膜。其中,基於可得到良好階梯覆蓋、且可減少膜之雜質之觀點,使用釕羰基以CVD進行成膜為佳。
<其他之適用>
以上,針對本發明之實施形態說明,但本發明不限於上述實施形態可作各種變形。例如,成膜處理部不限於圖10之類型,亦可為所有的成膜裝置連接於一個搬送裝置之類型。此外,亦可非圖10之多腔室類型系統,而是僅Mn膜、Ru襯裏膜、Cu膜當中之一部分是在同一成膜系統中形成,剩餘部分則藉由個別設置之裝置經過大氣暴露而成膜,或是全部在個別裝置經過大氣暴露而成膜。
再者,上述實施形態係顯示了在具有槽渠與通孔(孔)之晶圓適用本發明之方法的例子,但當然即便是僅具有槽渠之情況或是僅具有孔之情況也可適用本發明。此外,除了單一金屬鑲嵌構造、雙元金屬鑲嵌構造以外,也可適用於三維構裝構造等各種構造之元件的填埋。此外,上述實施形態中,被處理基板係舉出半導體晶圓為例來說明,但半導體晶圓不限於矽,也包含有GaAs、SiC、GaN等化合物半導體,再者,不限定於半導體晶圓,即便是液晶顯示裝置等FPD(平面顯示器)所使用之玻璃基板、陶瓷基板等也可適用本發明。
201‧‧‧下部構造
202‧‧‧層間絕緣膜(含Si膜)
203‧‧‧槽渠
204‧‧‧Mn膜
205‧‧‧防護膜
206‧‧‧Ru襯裏膜
207‧‧‧Cu膜
208‧‧‧Cu配線
W‧‧‧半導體晶圓(被處理基板)

Claims (9)

  1. 一種Cu配線之形成方法,係對於具有表面形成有既定圖案之凹部的含Si膜之基板,形成填埋該凹部之Cu配線者;具有下述製程:至少於該凹部之表面以CVD來形成因與基底之反應而成為自整合防護膜之Mn膜之製程;以PVD來形成Cu膜而將該Cu膜填埋於該凹部內之製程;以及以CMP將全面加以研磨而於該凹部內形成Cu配線之製程。
  2. 如申請專利範圍第1項之Cu配線之形成方法,係於該Mn膜之成膜前,對該含Si膜之表面施以表面處理以使其表面成為親水性表面。
  3. 如申請專利範圍第2項之Cu配線之形成方法,其中該表面處理包含有以含過氧化氫之藥液所進行之處理。
  4. 如申請專利範圍第2或3項之Cu配線之形成方法,其中該表面處理係於表面形成OH基、H基或是NH基。
  5. 如申請專利範圍第2項之Cu配線之形成方法,其中該表面處理包含有以構造中具有O-Si-OH之化合物所進行之處理。
  6. 如申請專利範圍第1至3項中任一項之Cu配線之形成方法,係進一步具有:於形成該Mn膜之後、形成該Cu膜之前來形成Ru膜之製程。
  7. 如申請專利範圍第6項之Cu配線之形成方法,其中該Ru膜係以CVD所形成者。
  8. 如申請專利範圍第1至3項中任一項之Cu配線之形成方法,其中該Cu膜之形成係藉由下述裝置而進行:於收容有基板之處理容器內以電漿生成氣體來生成電漿,從Cu靶使得粒子飛起而讓粒子在該電漿中離子化,並對該基板施加偏壓電力將離子拉引至基板上之裝置。
  9. 一種記憶媒體,係於電腦上作動,記憶有用以控制Cu配線形成系統之程式者;該程式於實行時係以進行如申請專利範圍第1至8項中任一項之Cu配線之形成方法的方式於電腦控制該Cu配線形成系統。
TW102145488A 2012-12-12 2013-12-11 Cu配線之形成方法 TW201438074A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2012271020 2012-12-12
JP2013067196A JP6117588B2 (ja) 2012-12-12 2013-03-27 Cu配線の形成方法

Publications (1)

Publication Number Publication Date
TW201438074A true TW201438074A (zh) 2014-10-01

Family

ID=50881234

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102145488A TW201438074A (zh) 2012-12-12 2013-12-11 Cu配線之形成方法

Country Status (4)

Country Link
US (1) US9313895B2 (zh)
JP (1) JP6117588B2 (zh)
KR (1) KR101739613B1 (zh)
TW (1) TW201438074A (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108475638A (zh) * 2016-05-16 2018-08-31 株式会社爱发科 Cu膜的形成方法

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9142456B2 (en) * 2013-07-30 2015-09-22 Lam Research Corporation Method for capping copper interconnect lines
US9984975B2 (en) * 2014-03-14 2018-05-29 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
US9966339B2 (en) 2014-03-14 2018-05-08 Taiwan Semiconductor Manufacturing Company Barrier structure for copper interconnect
KR102264160B1 (ko) 2014-12-03 2021-06-11 삼성전자주식회사 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법
JP6584326B2 (ja) * 2015-03-16 2019-10-02 東京エレクトロン株式会社 Cu配線の製造方法
US10157784B2 (en) * 2016-02-12 2018-12-18 Tokyo Electron Limited Integration of a self-forming barrier layer and a ruthenium metal liner in copper metallization
KR20170110332A (ko) 2016-03-23 2017-10-11 삼성전자주식회사 반도체 장치 및 그 제조 방법
JP6910118B2 (ja) * 2016-08-05 2021-07-28 東京エレクトロン株式会社 成膜方法および成膜システム、ならびに表面処理方法
US11133216B2 (en) * 2018-06-01 2021-09-28 International Business Machines Corporation Interconnect structure

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6417098B1 (en) * 1999-12-09 2002-07-09 Intel Corporation Enhanced surface modification of low K carbon-doped oxide
JP3707394B2 (ja) * 2001-04-06 2005-10-19 ソニー株式会社 無電解メッキ方法
WO2003015151A1 (en) * 2001-08-02 2003-02-20 Tokyo Electron Limited Base material treating method and electron device-use material
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
JP2006016684A (ja) * 2004-07-05 2006-01-19 Ebara Corp 配線形成方法及び配線形成装置
JP2006148075A (ja) * 2004-10-19 2006-06-08 Tokyo Electron Ltd 成膜方法及びプラズマ成膜装置
US20080200002A1 (en) 2004-10-19 2008-08-21 Tokyo Electron Limited Plasma Sputtering Film Deposition Method and Equipment
US7709145B2 (en) * 2004-11-12 2010-05-04 Gm Global Technology Operations, Inc. Hydrophilic surface modification of bipolar plate
US20100200991A1 (en) * 2007-03-15 2010-08-12 Rohan Akolkar Dopant Enhanced Interconnect
JP5196467B2 (ja) * 2007-05-30 2013-05-15 東京エレクトロン株式会社 半導体装置の製造方法、半導体製造装置及び記憶媒体
CN102132398B (zh) 2008-03-21 2015-01-28 哈佛学院院长等 用于互连的自对准阻挡层
JP5417754B2 (ja) * 2008-07-11 2014-02-19 東京エレクトロン株式会社 成膜方法及び処理システム
JP5522979B2 (ja) * 2009-06-16 2014-06-18 国立大学法人東北大学 成膜方法及び処理システム
JP5307072B2 (ja) * 2009-06-17 2013-10-02 東京エレクトロン株式会社 金属酸化物膜の形成方法及び成膜装置
US8531033B2 (en) * 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
JP5585909B2 (ja) * 2010-02-16 2014-09-10 合同会社先端配線材料研究所 コンタクトプラグ、配線、半導体装置およびコンタクトプラグ形成方法
JP2011216862A (ja) * 2010-03-16 2011-10-27 Tokyo Electron Ltd 成膜方法及び成膜装置
WO2012060428A1 (ja) 2010-11-02 2012-05-10 宇部興産株式会社 (アミドアミノアルカン)金属化合物、及び当該金属化合物を用いた金属含有薄膜の製造方法

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108475638A (zh) * 2016-05-16 2018-08-31 株式会社爱发科 Cu膜的形成方法
CN108475638B (zh) * 2016-05-16 2022-11-18 株式会社爱发科 Cu膜的形成方法

Also Published As

Publication number Publication date
JP2014135465A (ja) 2014-07-24
JP6117588B2 (ja) 2017-04-19
KR101739613B1 (ko) 2017-05-24
KR20140076514A (ko) 2014-06-20
US20140161992A1 (en) 2014-06-12
US9313895B2 (en) 2016-04-12

Similar Documents

Publication Publication Date Title
TW201438074A (zh) Cu配線之形成方法
US9368418B2 (en) Copper wiring structure forming method
KR101846049B1 (ko) Cu 배선의 제조 방법 및 기억 매체
WO2012133400A1 (ja) Cu配線の形成方法
JP5969306B2 (ja) Cu配線の形成方法
KR20160068668A (ko) Cu 배선의 형성 방법 및 성막 시스템, 기억 매체
US10096548B2 (en) Method of manufacturing Cu wiring
US10163699B2 (en) Cu wiring forming method and semiconductor device manufacturing method
US9735046B2 (en) Semiconductor device manufacturing method and storage medium
KR102103072B1 (ko) 구리 배선의 제조 방법
TWI651807B (zh) Cu配線之製造方法
US9892965B2 (en) Cu wiring manufacturing method and Cu wiring manufacturing system
KR101800487B1 (ko) 동(Cu) 배선의 형성 방법 및 기억매체
US20170025308A1 (en) Method of cleaning bottom of via hole and method of manufacturing semiconductor device
KR20150069537A (ko) 반도체 장치의 제조 방법