TW201337027A - 原子層沉積微影技術 - Google Patents

原子層沉積微影技術 Download PDF

Info

Publication number
TW201337027A
TW201337027A TW102105286A TW102105286A TW201337027A TW 201337027 A TW201337027 A TW 201337027A TW 102105286 A TW102105286 A TW 102105286A TW 102105286 A TW102105286 A TW 102105286A TW 201337027 A TW201337027 A TW 201337027A
Authority
TW
Taiwan
Prior art keywords
monolayer
substrate
chamber
layer
region
Prior art date
Application number
TW102105286A
Other languages
English (en)
Inventor
Banqiu Wu
Ajay Kumar
Omkaram Nalamasu
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201337027A publication Critical patent/TW201337027A/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/0035Multiple processes, e.g. applying a further resist layer on an already in a previously step, processed pattern or textured surface
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/165Monolayers, e.g. Langmuir-Blodgett
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/16Coating processes; Apparatus therefor
    • G03F7/167Coating processes; Apparatus therefor from the gas phase, by plasma deposition

Landscapes

  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

在本揭示案中提供執行原子層沉積微影製程的方法與設備。在一個實施例中,在元件中之材料層上形成特徵的方法包括以下步驟:脈衝第一反應劑氣體混合物至配置於製程腔室中之基板的表面以在基板表面上形成材料層的第一單層、引導高能輻射以處理第一單層的第一區,及脈衝第二反應劑氣體混合物至基板表面以選擇性地在第一單層的第二區上形成第二單層。

Description

原子層沉積微影技術
本發明通常關於原子層沉積微影製程的方法與設備,更明確地,本發明關於半導體領域中利用原子層沉積製程以及微影製程以在基板表面上形成特徵/結構的方法與設備。
積體電路已經發展成可在單一晶片上包括數百萬個部件(諸如,電晶體、電容器與電阻器)的複雜元件。晶片設計的發展持續地需要更快的電路與更大的電路密度。對更大電路密度的需求迫使積體電路部件的尺寸降低。
隨著積體電路部件的尺寸降低(例如,降低至次微米尺寸),將需要更多元件被放置於半導體積體電路的特定區域中。因此,微影製程在精確地與準確地且不傷害地轉移更小的特徵至基板上已經變得越來越具有挑戰性。為了轉移精確與準確的特徵至基板上,期望的高解析微影製程需要具有適當的光線源,適當的光線源可提供在期望波長範圍下之輻射以用於暴露。再者,微影製程需要以最小結構與/或臨界尺寸任一者轉移特徵至光阻劑層上。近來,已經利用極紫外光(EUV)輻射源來提供短暴露波長以進一步降低可印刷於基板上的最 小尺寸。然而,在上述小尺寸下,在後續蝕刻製程過程中經常發生光阻劑層的崩潰或傷害,這造成無法成功地轉移結構至基板表面上。
在習知微影製程中,配置於基板上之光阻劑層的某些部分被暴露至入射輻射以進行化學轉換。在傳統正型暴露製程中,在顯影製程過程中以鹼性水溶液移除經歷化學轉換之光阻劑層的暴露部分。隨著形成於微電子元件上之特徵尺寸持續縮小,會因為水的毛細力量與表面張力造成之影像崩潰而使鹼性水溶液顯影劑變得有問題。再者,溶液基礎的顯影劑傾向在顯影製程後留下非期望的汙染物於基板上,藉此負面地影響基板清潔度。
因此,需要方法與設備來控制具有最小結構傷害之微影製程的製程缺陷,以得到具有期望臨界尺寸至基板表面上的準確結構轉移。
在本揭示案中提供執行原子層沉積微影製程的方法與設備。在一個實施例中,在元件中之材料層上形成特徵的方法包括以下步驟:脈衝第一反應劑氣體混合物至配置於製程腔室中之基板的表面以在基板表面上形成材料層的第一單層、引導高能輻射以處理第一單層的第一區,及脈衝第二反應劑氣體混合物至基板表面以選擇性地在第一單層的第二區上形成第二單層。
在另一個實施例中,在元件中之材料層上形成特徵的方法包括以下步驟:引導光線輻射以處理材料層之第一單 層的第一區,藉由執行於原子層沉積製程中之第一反應劑氣體混合物的脈衝來沉積材料層之第一單層;持續執行原子層沉積製程以在材料層的第一單層上形成第二單層;及移除由光線輻射處理之第一區中的第一單層。
在又另一個實施例中,設以執行原子沉積層製程與微影製程之製程腔室包括腔室主體,腔室主體具有腔室蓋,腔室蓋配置於腔室主體上以在腔室主體中界定內部製程區;基座,基座配置於內部製程區中;氣體分配系統,氣體分配系統配置於腔室主體上以輸送反應劑氣體進入內部製程區;能量束源,能量束源配置於腔室蓋下以引導高能輻射朝向內部製程區;及遮罩,遮罩配置於能量束源與基座之間。
102‧‧‧基板
103‧‧‧功率源
104‧‧‧縫
105‧‧‧蓋
106‧‧‧能量束源
111‧‧‧窗
113‧‧‧遮罩
114‧‧‧舉升件
116‧‧‧舉升板
118‧‧‧舉升板致動器
120‧‧‧銷
122‧‧‧淨化環
124‧‧‧淨化通道
129‧‧‧腔室主體
130‧‧‧氣體輸送設備
131‧‧‧側壁
133‧‧‧狹縫閥通道
134‧‧‧ALD微影製程腔室
137A、137B‧‧‧氣體入口
138、139、140‧‧‧氣體源
142A、142B‧‧‧閥
143A、143B‧‧‧輸送管路
144A、144B、146A、146B‧‧‧閥座組件
145A、145B‧‧‧淨化管路
148A、148B‧‧‧可編程邏輯控制器
166‧‧‧泵送區域
178‧‧‧泵送系統
179‧‧‧泵送通道
180‧‧‧控制單元
182‧‧‧中央處理單元
183‧‧‧相關之控制軟體
184‧‧‧支援電路
186‧‧‧記憶體
188‧‧‧信號匯流排
190‧‧‧擴張管道
192‧‧‧基板支撐件
200‧‧‧製程
202、204、206、208、212‧‧‧框
210‧‧‧循環
302‧‧‧基板表面
303‧‧‧下方的表面
304、314‧‧‧單層
305‧‧‧材料層
306‧‧‧經處理層
308‧‧‧光束
310‧‧‧第一區
312‧‧‧第二區
316‧‧‧複合材料
為了詳細理解本發明上述之特徵,可參照某些圖示於附圖中的實施例來理解簡短概述於【發明內容】中的本發明的更明確描述。然而,需注意附圖僅圖示本發明之典型實施例而因此附圖不被視為本發明之範圍的限制因素,因為本發明可允許其他等效實施例。
第1圖描繪適合執行本發明的一個實施例之原子層沉積(ALD)微影製程腔室的一個實施例之剖面圖;第2圖描繪可利用第1圖中描繪之ALD微影製程腔室來執行之ALD微影製程的流程圖;及第3A-3E圖描繪在執行根據本發明的一個實施例之ALD微影製程的不同階段過程中之半導體元件的橫剖面圖。
為了促進理解,已經盡可能應用相同的元件符號來 標示圖式中共有的相同元件。預期一個實施例的元件與特徵可有利地併入其他實施例而不需特別詳述。
然而,需注意附圖僅圖示本發明之示範性實施例而因此附圖不被視為本發明之範圍的限制因素,因為本發明可允許其他等效實施例。
在本揭示案中提供執行原子層沉積(ALD)微影製程之方法與設備。ALD微影製程利用ALD製程及微影製程以形成特徵至基板表面上,而未利用習知光阻劑層與/或硬遮罩層。ALD微影製程腔室提供雙重功能:沉積原子層沉積層以及執行微影製程(例如,能量束處理製程)以在原子層沉積層上形成特徵/結構。基板可包括一個或多個非導電材料,非導電材料諸如矽、氧化矽、摻雜矽、鍺、砷化鎵、玻璃與藍寶石。基板亦可包括介電材料,介電材料諸如二氧化矽、有機矽酸鹽與摻雜碳的氧化矽。再者,取決於應用,基板可包括任何其他材料(諸如,金屬氮化物與金屬合金)。在一個或多個實施例中,基板可形成包括閘極介電層與閘極電極層之閘極結構,以促進與後續形成於閘極結構上之互連特徵(諸如,插座、介層窗、接點、接線與電線)的連接。基板可用於積體電路、太陽能、MEMs或其他元件製造。
此外,基板並不限於任何特定尺寸或形狀。基板可為具有200 mm直徑、300 mm直徑或其他直徑(例如,450 mm等等)的圓形基板。基板亦可為任何多邊形、正方形、矩形、彎曲或其他非圓形工件,例如用於製造平板顯示器之多邊形 玻璃基板。
本發明提供沉積/形成材料層於基板上之方法,上述方法藉由ALD製程並接著微影製程(例如,能量束處理製程)以在沉積材料層上形成特徵/結構。製程可有效地形成具有期望之小臨界尺寸的特徵/結構至基板表面上,而不必利用習知光阻劑層與/或硬遮罩層來輔助轉移特徵/結構至基板表面上,藉此改善製造循環時間與成本亦降低製造複雜度。ALD與微影製程兩者可有利地被執行於單一腔室中。
第1圖是ALD微影製程腔室134的一個實施例之示意性橫剖面圖。ALD微影製程腔室134包括適合用於循環沉積之氣體輸送設備130,循環沉積諸如ALD或化學氣相沉積(CVD)。本文所用之詞彙ALD與CVD指的是依序引導反應劑以沉積薄層於基板結構上。依序引導反應劑可加以重複以沉積複數個薄層好形成共形層至期望厚度。腔室134亦可適用於搭配微影製程的其他沉積技術。
腔室134包括腔室主體129,腔室主體129具有側壁131與底部132。形成通過腔室主體129之狹縫閥通道133提供機器人(未圖示)路徑以輸送並自腔室134取回基板102(諸如,200 mm、300 mm或450 mm半導體基板或玻璃基板)。
基板支撐件192被配置於腔室134中且在處理過程中支撐基板102。基板支撐件192被安裝至舉升件114以提高並降低基板支撐件192與配置於基板支撐件192上之基板102。舉升板116連接至控制舉升板116之水平的舉升板致動 器118。可提高與降低舉升板116,以提高與降低可移動地配置通過基板支撐件192之銷120。銷120被用來提高與降低基板支撐件192之表面上的基板102。基板支撐件192可包括真空夾盤、靜電夾盤或夾緊環,以在處理過程中固定基板102至基板支撐件192的表面。
可加熱基板支撐件192以加熱配置於基板支撐件192上之基板102。舉例而言,可利用嵌入式加熱元件(例如,電阻式加熱器)或利用輻射熱(例如,配置於基板支撐件192上之加熱燈)加熱基板支撐件192。淨化環122可被配置於基板支撐件192上以界定淨化通道124,淨化通道124提供淨化氣體至基板102的周邊部分以避免周邊部分上之沉積。
氣體輸送設備130被配置於腔室主體129的上部分以提供氣體(諸如,製程氣體與/或淨化氣體)至腔室134。泵送系統178連通於泵送通道179以自腔室134排出任何期望氣體,並有助於維持腔室134之泵送區域166內部的期望壓力或期望壓力範圍。
在一個實施例中,氣體輸送設備130包括擴張管道190,擴張管道190具有氣體入口137A、137B以自兩個相似閥142A、142B提供氣體流動。可一起與/或分開地提供來自閥142A、142B之氣體流動。
在一個設置中,閥142A與閥142B耦接至分隔的反應劑氣體源但耦接至相同的淨化氣體源。舉例而言,閥142A耦接至反應劑氣體源138而閥142B耦接至反應劑氣體源139,且閥142A、142B兩者皆耦接至淨化氣體源140。各個 閥142A、142B包括具有閥座組件144A、144B之輸送管路143A、143B以及具有閥座組件146A、146B之淨化管路145A、145B。輸送管路143A、143B連通於反應劑氣體源138、139並連通於擴張管道190的氣體入口137A、137B。輸送管路143A、143B的閥座組件144A、144B控制來自反應劑氣體源138、139之反應劑氣體至擴張管道190的流動。淨化管路145A、145B連通於淨化氣體源140並與輸送管路143A、143B相交於輸送管路143A、143B之閥座組件144A、144B的下游。淨化管路145A、145B的閥座組件146A、146B控制來自淨化氣體源140之淨化氣體至輸送管路143A、143B的流動。若載氣被用來輸送來自反應劑氣體源138、139之反應劑氣體,可應用相同的氣體作為載氣與淨化氣體(即,作為載氣與淨化氣體之氬氣)。
各個閥142A、142B可為零無效空間閥,以在關閉閥的閥座組件144A、144B時沖洗來自輸送管路143A、143B的反應劑氣體。舉例而言,淨化管路145A、145B可被配置鄰近於輸送管路143A、143B的閥座組件144A、144B。當關閉閥座組件144A、144B時,淨化管路145A、145B可提供淨化氣體以沖洗輸送管路143A、143B。在所示的實施例中,淨化管路145A、145B被配置成稍微離開輸送管路143A、143B的閥座組件144A、144B,以致打開時淨化氣體並非直接被輸送進入閥座組件144A、144B。本文所用之零無效空間閥被界定成具有微不足道的無效空間(即,非必為零無效空間)之閥。各個閥142A、142B可適以提供反應劑氣體138、139與淨化氣 體140的組合氣體流與/或分隔氣體流。可藉由打開與關閉淨化管路145A之閥座組件146A的膈來提供淨化氣體的脈衝。可藉由打開與關閉輸送管路143A之閥座144A的膈來提供來自反應劑氣體源138之反應劑氣體的脈衝。
功率源103被耦接至蓋105,蓋105配置於腔室主體129上。功率源103設以提供功率至配置於功率源103下方之能量束源106,通過形成於功率源103與能量束源106之間的縫104來連接功率源103與能量束源106。在一個實施例中,能量束源106可為任何能夠提供UV光線或其他高能輻射的適當高能輻射源,諸如汞微波弧燈、脈衝式氙閃光燈、高效UV發光二極體陣列與電子束產生器。UV燈泡可為密封式電漿燈泡,密封式電漿燈泡填充有一個或多個由功率源103激發的氣體(諸如,氙(Xe)或汞(Hg))。自能量束源106發射的光藉由通過配置於能量束源106下方之窗111進入基板表面。窗111可由具有足夠厚度的石英玻璃所製成,以維持真空而不破裂。光罩與/或特徵轉移遮罩113被配置於基板102與窗111之間。可在窗111與基板102的表面之間調整特徵轉移遮罩113的位置,以助於轉移特徵/結構至基板102的表面上。當打開能量束源106時,配置於製程腔室134中之光罩/特徵轉移遮罩113可協助藉由僅允許來自能量束源106之光線的一部分通過光罩/特徵轉移遮罩113而到達基板102的某些區,保護某些其他區免於暴露至光線。能量束源106以及光罩/特徵轉移遮罩113作為特徵/結構轉移機制,特徵/結構轉移機制設以執行微影製程以轉移特徵/結構至配置於基板 表面上之材料層上,而不在沉積製程過程中與/或沉積製程後破壞真空。
在一個實施例中,光罩/特徵轉移遮罩113可提供形成於光罩/特徵轉移遮罩113中之特徵/結構低於180 nm的尺寸,以在有影像降低或沒有影像降低下轉移相似特徵/結構至基板表面上。關於如何執行利用能量束源106以及光罩/特徵轉移遮罩113之微影製程的細節將參照第2-3E圖進一步描述於下。
在第1圖中,控制單元180可被耦接至腔室134以控制製程條件。控制單元180包括中央處理單元(CPU)182、支援電路184與記憶體186,記憶體186包含相關之控制軟體183。控制單元180可為任何形式的通用電腦處理器的一者,通用電腦處理器可被用於工業設定以控制多個腔室與子處理器。CPU 182可利用任何適當的記憶體186,記憶體186諸如隨機存取記憶體、唯讀記憶體、軟碟驅動機、光碟驅動機、硬碟或任何適當形式的數位儲存器(本端或遠端)。多個支援電路可被耦接至CPU 182以支援腔室134。控制單元180可被耦接至位於鄰近各個腔室部件的另一個控制器,諸如閥142A、142B的可編程邏輯控制器148A、148B。透過多個信號電纜來處理控制單元180與腔室134的多個其他部件之間的雙向連通,多個信號電纜統稱為信號匯流排188,某些信號匯流排188描繪於第1圖中。除了來自氣體源138、139、140與來自閥142A、142B之可編程邏輯控制器148A、148B的製程氣體與淨化氣體的控制外,控制單元180可設以對基板處 理中所用之其他活動的自動化控制負責,其他活動諸如基板傳送、溫度控制、腔室排空等其他活動,某些上述活動將於本文其他地方描述。
第2圖描繪製程200的一個實施例的流程圖,製程200用以執行ALD微影製程以在基板上之半導體元件結構中形成圖案化原子層沉積材料層。第2圖中描述之製程200對應於下文所述之第3A-3E圖中描繪的製造階段。第3A-3E圖描繪在製程200所描述之不同階段過程中基板(例如,第1圖中所描繪之基板102)的示意性橫剖面圖,基板設以形成具有期望結構/特徵之原子層沉積材料層於基板上。
製程200開始於框202,框202提供基板(例如,第3A圖中所描繪之基板102)進入製程腔室(例如,基板102配置於第1圖中所描繪之製程腔室134中)或其他適當製程腔室。第3A圖中所示之基板102可包括諸如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、摻雜或未摻雜的聚矽、摻雜或未摻雜的矽基板與圖案化或未圖案化的基板絕緣體上矽(SOI)、摻雜碳的氧化矽、氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石的材料。基板102可具有多種尺寸以及矩形或正方形面板,尺寸諸如200 mm、300 mm或450 mm直徑或其他尺寸。除非另有指明,本文所述之實施例與實例可執行於具有200 mm直徑、300 mm直徑或450 mm直徑(例如,300 mm直徑)之基板上。
基板102具有第一表面302,第一表面302向上暴露面對能量束源106與氣體入口137A、137B而可在第一表面 302上輕易地執行ALD微影製程。
框204處,在將基板102傳送進入製程腔室134之後,供應第一反應劑氣體混合物的脈衝進入製程腔室134以如第3B圖中所示般在基板102的表面302上形成材料層305的第一單層304。在第一反應劑氣體混合物的脈衝過程中,可依所需在熱ALD製程或電漿ALD製程過程中,將第一反應劑氣體混合物與還原氣體混合物(「反應劑」)(諸如,氫氣(H2)或NH3氣體)同時、依序供應進入製程腔室134,或者可不具有還原氣體混合物而供應第一反應劑氣體混合物進入製程腔室134。可被供應進入製程腔室134的適當第一反應劑氣體混合物可包括含矽氣體(諸如SiH4、Si2H6或其他適當含矽化合物)、含鉭氣體、含鈦氣體、含鈷氣體、含鎢氣體、含鋁氣體、含鎳氣體、含銅氣體、含硼氣體、含磷氣體、含氮氣體或其他可沉積適合用於半導體元件之單層於基板表面的適當氣體。本文所述之交替試劑(即,在沉積製程過程中與反應劑前驅物用來形成單層的還原劑)的實例可包括氫(諸如,H2或氫原子)、氮(諸如,N2或氮原子)、氨(NH3)、肼(N2H4)、氫與氨混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、甲基矽烷(SiCH6)、二甲基矽烷(SiC2H8)、膦(PH3)、上述之衍生物、上述之電漿或上述之組合物。
在框204處第一反應劑氣體混合物之脈衝過程中,第一反應劑氣體混合物的脈衝持續預定時間間隔。本文所用之詞彙脈衝指的是注射進入處理腔室之材料的劑量。在第一 反應劑氣體混合物的每個脈衝之間或在第一與第二反應劑氣體混合物(將進一步討論於下)的每個脈衝之間,可在第一與/或第二反應劑前驅物氣體混合物的各個或多個脈衝之間將淨化氣體混合物脈衝進入製程腔室,以移除雜質或未與基板表面反應/未由基板表面吸附之殘餘前驅物氣體混合物(例如,來自反應劑氣體混合物的未反應雜質等等),以便可自製程腔室抽出雜質或殘餘前驅物氣體混合物。
在框204處之操作中,脈衝第一反應劑氣體混合物的脈衝進入製程腔室134,以形成材料層305的第一單層304。脈衝進入製程腔室134的第一反應劑前驅物氣體混合物的各個脈衝可沉積材料層305的第一單層304,使第一單層304具有約3 Å與約5 Å之間的厚度。
在第一反應劑前驅物氣體混合物之脈衝過程中,亦可調節多個製程參數。在一個實施例中,將製程壓力控制在約7托與約30托之間。製程溫度在約125℃與約450℃之間。可將RF功率控制在約100瓦與約2000瓦之間。可將第一反應劑氣體混合物中供應的反應劑氣體控制在約5 sccm與約10 sccm之間。可在約100 sccm與約700 sccm之間供應還原氣體(例如,NH3氣體)。
框206處,如第3C圖中所示,自能量源106引導光束308至材料層305的第一單層304以處理第一單層304的第一區310。接著,位於第一區310中之材料層305經處理以形成材料層305的經處理層306。發射通過光罩/特徵轉移遮罩113的來自能量源106之光束308僅移動至材料層305的 第一區310,材料層305的第一區310未由光罩/特徵轉移遮罩113所保護。傳送通過光罩/特徵轉移遮罩113的光線僅處理材料層305的第一區310而未處理材料層305的第二區312。在光線處理後,位於第一區310中之材料層305經歷化學轉換而被轉換成經處理層306(蝕刻劑可移除的材料)。接著,可藉由包含適當蝕刻劑之製程後續地自基板表面302化學地移除來移除此經處理材料306。亦可預期材料層305可替代地為蝕刻可處理的,而能量處理將經處理層306轉換成蝕刻抵抗層以用於負型圖案化。
在一個實施例中,自能量束源106引導之光束308可為極UV光線、深UV光線、電子束、X光、離子束或其他適當光束。在一個實例中,自能量束源106引導之光束308可為波長在約5 nm與約400 nm之間的UV光線。
咸信引導用來形成經處理層306的光線能量會傷害、固化、修飾或改變材料層305的化學鍵結或原子結構,藉此形成膜性質不同於基板表面302上之未處理材料層305的經處理層306。藉由進行上述處理,可取得選擇性膜交替製程以僅選擇性地改變材料層305的一部分的膜性質而保持材料層305的另一部分不變。因此,可依所需後續地執行選擇性蝕刻/膜移除製程以僅自基板表面302選擇性地移除材料層的一部分(即,經處理或未經處理部分,取決於製程而定)。
框208處,在材料層305的第一區310上執行光線處理製程後,供應第二反應劑氣體混合物的脈衝進入製程腔室134以如第3D圖中所示般在基板102的表面302上形成材 料層305的第二單層314。在第二反應劑氣體混合物的脈衝過程中,可依所需在熱ALD製程或電漿ALD製程過程中,將第二反應劑氣體混合物與還原氣體混合物(或稱為反應劑)(諸如,氫氣(H2)或NH3氣體)同時、依序供應進入製程腔室134,或者可不具有還原氣體混合物而供應第二反應劑氣體混合物進入製程腔室134。咸信第二單層314藉由化學反應而吸附至第一單層304上,化學反應用以允許來自第二單層314的原子穩固地吸附於來自第一單層304的原子上。由於經歷過光線處理製程的經處理層306可具有不同於未經處理的第一單層304的化學性質,經處理層306中的原子可能無法成功地吸附來自第二單層314的原子,藉此僅允許來自第二單層314的原子吸附於第一未處理單層304的原子上。在此方式中,後續形成之第二單層314僅選擇性地沉積於未經處理的第一單層304上,藉此有助於後續執行之移除製程僅自基板表面選擇性地移除經處理的第一單層304而不攻擊第二單層314,這將參照框212處之第3E圖進一步討論於下。在替代負型製程中,第二單層314被配置於經處理層306上,而移除第一單層304的未經處理部分。
在一個實施例中,可供應進入製程腔室134的適當第二反應劑氣體混合物可包括含矽氣體(諸如SiH4、Si2H6或其他適當含矽化合物)、含氧氣體(諸如,H2O、O2或O3)、含鉭氣體、含鈦氣體、含鈷氣體、含鎢氣體、含鋁氣體、含鎳氣體、含銅氣體、含硼氣體、含磷氣體、含氮氣體或其他可沉積適合用於半導體元件之單層於基板表面的適當氣體。本 文所述之交替試劑(即,在沉積製程過程中與反應劑前驅物用來形成單層的還原劑)的實例可包括氫(諸如,H2或氫原子)、氮(諸如,N2或氮原子)、氨(NH3)、肼(N2H4)、氫與氨混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、甲基矽烷(SiCH6)、二甲基矽烷(SiC2H8)、膦(PH3)、上述之衍生物、上述之電漿或上述之組合物。
在框208處第二反應劑氣體混合物之脈衝過程中,第二反應劑氣體混合物的脈衝持續預定時間間隔。本文所用之詞彙脈衝指的是注射進入處理腔室之材料的劑量。在第二反應劑氣體混合物的每個脈衝之間或在框204與206處執行之第一與第二反應劑氣體混合物的每個脈衝之間,可在第一與/或第二反應劑前驅物氣體混合物的各個脈衝之間或多個脈衝之後將淨化氣體混合物脈衝進入製程腔室,以移除雜質或未與基板表面反應/未由基板表面吸附之殘餘前驅物氣體混合物(例如,來自反應劑氣體混合物的未反應雜質等等),以便可自製程腔室抽出雜質或殘餘前驅物氣體混合物。
在框208處之操作中,脈衝第二反應劑氣體混合物的脈衝進入製程腔室134,以選擇性地在保護區312中之未處理的第一單層304上形成第二單層314。脈衝進入製程腔室134的第二反應劑前驅物氣體混合物的各個脈衝可沉積材料層305的第二單層314,使第一單層314具有約3 Å與約5 Å之間的厚度。
在第二反應劑前驅物氣體混合物之脈衝過程中,亦 可調節多個製程參數。在一個實施例中,將製程壓力控制在約5托與約30托之間。製程溫度在約125℃與約450℃之間。可將RF功率控制在約100瓦與約800瓦之間。可將第二反應劑氣體混合物中供應的反應劑氣體控制在約5 sccm與約20 sccm之間。可在約100 sccm與約700 sccm之間供應還原氣體(例如,NH3氣體)。
在反應劑前驅物氣體混合物的每個脈衝之間或多個脈衝之後,接著將淨化氣體混合物供應進入製程腔室134以自製程腔室淨化殘餘物與雜質。亦可在淨化氣體混合物之脈衝過程中調節多個製程參數。在一個實施例中,將製程壓力控制在約1托與約100托之間。製程溫度在約125℃與約450℃之間。可將RF功率控制在約100瓦與約800瓦之間。可在約200 sccm與約1000 sccm之間供應Ar或N2氣體。
在淨化氣體混合物的脈衝之後,可如第2圖中所示之循環210般接著重複地執行額外循環直到達到材料層305的期望厚度範圍為止,循環開始於第一與/或第二反應劑氣體混合物的脈衝接著為淨化氣體混合物的脈衝。當框204處第一反應劑氣體混合物之脈衝的後續循環開始時,可調節製程壓力與其他製程參數至預定水平以幫助沉積材料層305的後續單層。
值得注意的是可依所需在提供不同功能的不同腔室中執行框204、206與208。舉例而言,在框204處,可在沉積腔室中執行沉積製程以形成第一單層。隨後,在框206處,可接著將基板傳送至具有光線源之第二腔室,光線源具有期 望的波長範圍以執行光線暴露製程。之後,在框208處,可接著將基板傳送回第一腔室以完成沉積第二單層於第一單層上。或者,在框208處,可依所需將基板傳送至第三製程腔室以完成形成第二單層於基板上。值得注意的是第一、第二與/或第三製程腔室可全部併入群集工具中,且可在第一、第二與/或第三製程腔室之間(或回到第一製程腔室)傳送基板且不破壞真空與暴露基板至大氣。
在框212處,在材料層305達到預定厚度後,可自製程腔室134移除基板102,以執行移除製程好如第3E圖中所示般自基板表面302移除經處理層306。值得注意的是在第一單層304上形成第二單層314後,來自第二單層314的原子將與來自第一單層304的原子反應以形成複合材料316,複合材料316包括來自第一單層304與第二單層314兩者的原子。
在一個實施例中,移除製程可為設以自基板102移除經處理層306的任何適當蝕刻/顯影製程。移除製程的適當實例包括乾蝕刻製程、濕蝕刻製程、顯影製程、灰化製程或其他適當的膜移除製程。可應用任何可用來移除經處理層306的傳統適當蝕刻製程。在完成移除製程後,移除了殘留於基板302上之經處理層306,這暴露在暴露區310中下方的基板102之表面303。
在一個實施例中,可藉由提供含鹵素氣體之乾蝕刻製程來執行移除製程以自基板102移除經處理層306。含鹵素氣體的適當實例包括氯氣(Cl2)、三氟化氮(NF3)、六氟化硫氣 體(SF6)、含碳與氟氣體(諸如,CF4、CHF3、或C4F8)、氯氣(Cl2)、氯化硼(BCl3)與氯化氫(HCl)等等。在某些實施例中,可以含鹵素氣體供應某些還原試劑以執行移除製程。適當的還原試劑包括(但不限於)碳氫化合物氣體,諸如一氧化碳(CO)、氧氣(O2)、甲烷(CH4)、乙烷(C2H6)、乙烯(C2H4)與上述之組合等等。
在基板102上執行與完成移除製程之後,可取得具有期望特徵形成於基板上之材料層。藉由進行上述處理,可排除搭配利用光阻劑層與/或硬遮罩層之顯影製程的傳統微影製程,因為現在可將特徵/結構直接轉移至材料層上而不必利用額外的光阻劑層與/或硬遮罩層。因此,接著可有效地降低並改善製造複雜度、成本與循環時間。
因此,在本揭示案中提供執行ALD微影製程的方法與設備。ALD微影製程利用ALD製程以及微影製程來在基板表面上形成特徵,而不利用由光阻劑層與/或硬遮罩層形成的傳統遮罩。本文所述之ALD微影製程有效地降低製造複雜度、成本與循環時間並改善製造靈活性與生產率。
雖然上文關於本發明的某些實施例,但可在不悖離本發明之基本範圍下設計出本發明之其他實施例與進一步實施例,且本發明之範圍由隨後之申請專利範圍所確定。
102‧‧‧基板
103‧‧‧功率源
104‧‧‧縫
105‧‧‧蓋
106‧‧‧能量束源
111‧‧‧窗
113‧‧‧遮罩
114‧‧‧舉升件
116‧‧‧舉升板
118‧‧‧舉升板致動器
120‧‧‧銷
122‧‧‧淨化環
124‧‧‧淨化通道
129‧‧‧腔室主體
130‧‧‧氣體輸送設備
131‧‧‧側壁
133‧‧‧狹縫閥通道
134‧‧‧ALD微影製程腔室
137A、137B‧‧‧氣體入口
138、139、140‧‧‧氣體源
142A、142B‧‧‧閥
143A、143B‧‧‧輸送管路
144A、144B、146A、146B‧‧‧閥座組件
145A、145B‧‧‧淨化管路
148A、148B‧‧‧可編程邏輯控制器
166‧‧‧泵送區域
178‧‧‧泵送系統
179‧‧‧泵送通道
180‧‧‧控制單元
182‧‧‧中央處理單元
183‧‧‧相關之控制軟體
184‧‧‧支援電路
186‧‧‧記憶體
188‧‧‧信號匯流排
190‧‧‧擴張管道
192‧‧‧基板支撐件

Claims (21)

  1. 一種在一元件中之一材料層上形成數個特徵的方法,該方法包括以下步驟:(a)脈衝一第一反應劑氣體混合物至一基板的一表面,以在該基板表面上形成一材料層的一第一單層,該基板配置於一製程腔室中;(b)引導一高能輻射以處理該第一單層的一第一區;及(c)脈衝一第二反應劑氣體混合物至該基板表面,以選擇性地在該第一單層的一第二區上形成一第二單層。
  2. 如請求項1所述之方法,進一步包括以下步驟:選擇性地移除藉由該高能輻射處理且配置於該第一單層的該第一區中之該第一單層。
  3. 如請求項2所述之方法,進一步包括以下步驟:在移除該基板表面上之該經處理的第一單層後,在該第一單層與該第二單層中形成數個結構或特徵。
  4. 如請求項1所述之方法,其中該光線輻射是一波長在約5 nm與約400 nm之間的UV光線源。
  5. 如請求項1所述之方法,進一步包括以下步驟:使該第二單層與該第一單層反應以形成一材料層。
  6. 如請求項1所述之方法,其中引導該高能輻射的步驟進一步包括以下步驟:引導該高能輻射至未由一遮罩保護之該第一單層的該第一區,其中該高能輻射通過該遮罩。
  7. 如請求項2所述之方法,其中移除該第一單層的步驟包括以下步驟:執行一蝕刻製程,以自該基板表面移除該經處理的第一單層。
  8. 如請求項1所述之方法,進一步包括以下步驟:在該第一反應劑氣體混合物或該第二反應劑氣體混合物的脈衝之後,脈衝一淨化氣體混合物至該製程腔室。
  9. 如請求項1所述之方法,進一步包括以下步驟:重複步驟(a)至步驟(c)直到達到該第一單層與該第二單層的一預定總厚度為止。
  10. 如請求項1所述之方法,其中引導該高能輻射的步驟包括以下步驟:改變該第一區中之該第一單層的數個化學性質。
  11. 如請求項1所述之方法,其中該步驟(a)至該步驟(c)被執行於一單一製程腔室中。
  12. 如請求項1所述之方法,其中脈衝該第一反應劑氣體混合物與該第二反應劑氣體混合物的該步驟(a)與該步驟(c)形成於該製程腔室中,而該步驟(b)被執行於另一製程腔室中。
  13. 一種在一元件中之一材料層上形成數個特徵的方法,包括以下步驟:引導一高能輻射以處理一材料層之一第一單層的一第一區,藉由執行於一原子層沉積製程中之一第一反應劑氣體混合物的一脈衝來沉積該材料層之該第一單層;持續執行該原子層沉積製程以在該材料層的該第一單層上形成一第二單層;及移除該第一區中由一光線輻射處理的該第一單層。
  14. 如請求項13所述之方法,其中持續執行該原子層沉積製程的步驟包括以下步驟:選擇性地僅在該第一單層層的一第二區上形成該第二單層,該第一單層層的該第二區未受該高能輻射處理。
  15. 如請求項13所述之方法,其中移除該第一單層的步驟進一步包括以下步驟:自該基板表面選擇性地移除該經處理的第一單層而不移除該第二單層。
  16. 如請求項13所述之方法,其中該光線輻射是一波長在約5 nm與約400 nm之間的UV光線源。
  17. 一種設以執行一原子沉積層製程與一微影製程的製程腔室,包括:一腔室主體,該腔室主體具有一腔室蓋,該腔室蓋配置於該腔室主體上以在該腔室主體中界定一內部製程區;一基座,該基座配置於該內部製程區中;一氣體分配系統,該氣體分配系統配置於該腔室主體上,以輸送一反應劑氣體進入該內部製程區;一能量束源,該能量束源配置於該腔室蓋下,以引導一高能輻射朝向該內部製程區;及一遮罩,該遮罩配置於該能量束源與該基座之間。
  18. 如請求項17所述之製程腔室,其中該能量束源提供UV光線、深UV光線、一電子束或一離子束。
  19. 如請求項17所述之製程腔室,其中該遮罩是一光罩,該光罩設以傳輸該光線的一部分至該內部製程區。
  20. 如請求項17所述之製程腔室,其中該氣體分配系統適以在該製程腔室中執行一原子層沉積製程。
  21. 一種在一半導體元件中之一材料層上形成數個特徵的方法,該方法包括以下步驟:(a)脈衝一第一反應劑氣體混合物至一基板的一表面,以在該基板表面上形成一材料層的一第一單層,該基板配置於一製程腔室中;(b)引導一光線輻射以處理該第一單層的一第一區;(c)脈衝一第二反應劑氣體混合物以選擇性地在該第一單層的該第一區上形成一第二單層;及(d)選擇性地移除該第一單層的一第二區,該第一單層的該第二區未受該光線輻射處理。
TW102105286A 2012-02-21 2013-02-08 原子層沉積微影技術 TW201337027A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US201261601511P 2012-02-21 2012-02-21

Publications (1)

Publication Number Publication Date
TW201337027A true TW201337027A (zh) 2013-09-16

Family

ID=49003242

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102105286A TW201337027A (zh) 2012-02-21 2013-02-08 原子層沉積微影技術

Country Status (6)

Country Link
US (1) US8932802B2 (zh)
JP (1) JP5771339B2 (zh)
KR (1) KR20140129231A (zh)
CN (1) CN104115257A (zh)
TW (1) TW201337027A (zh)
WO (1) WO2013126175A1 (zh)

Families Citing this family (218)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10184179B2 (en) * 2014-01-21 2019-01-22 Applied Materials, Inc. Atomic layer deposition processing chamber permitting low-pressure tool replacement
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6306411B2 (ja) * 2014-04-17 2018-04-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9972702B2 (en) 2014-05-22 2018-05-15 Taiwan Semiconductor Manufacturing Company Method for non-resist nanolithography
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
SG11202013097VA (en) * 2018-06-29 2021-01-28 Lam Res Corp Oxidative conversion in atomic layer deposition processes
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0210361A (ja) * 1988-03-28 1990-01-16 Texas Instr Inc <Ti> 分解能の高いパターン線画を得る方法
JPH0233153A (ja) * 1988-07-22 1990-02-02 Toshiba Corp 半導体装置の製造方法
DE69227137T2 (de) * 1991-02-28 1999-04-22 Texas Instruments Inc Verfahren zur Herstellung einer Markierung
JP3128995B2 (ja) * 1992-09-30 2001-01-29 ソニー株式会社 選択エピタキシャル成長マスクの形成方法
JPH06208965A (ja) * 1993-01-08 1994-07-26 Hitachi Ltd 半導体装置の製造方法
EP0809282A1 (en) * 1996-04-26 1997-11-26 Max-Planck-Gesellschaft zur Förderung der Wissenschaften e.V. A method for treating a substrate surface, a method for producing an at least partially metallized substrate and a substrate having a treated surface
JP3289606B2 (ja) * 1996-07-11 2002-06-10 凸版印刷株式会社 ハーフトーン型位相シフトマスク用ブランク及びハーフトーン型位相シフトマスク
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7531293B2 (en) * 2006-06-02 2009-05-12 International Business Machines Corporation Radiation sensitive self-assembled monolayers and uses thereof
US8252697B2 (en) * 2007-05-14 2012-08-28 Micron Technology, Inc. Zinc-tin oxide thin-film transistors
KR101049801B1 (ko) * 2009-03-05 2011-07-15 삼성모바일디스플레이주식회사 다결정 실리콘층의 제조방법 및 이에 이용되는 원자층 증착장치
US8318249B2 (en) * 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices

Also Published As

Publication number Publication date
JP5771339B2 (ja) 2015-08-26
KR20140129231A (ko) 2014-11-06
WO2013126175A1 (en) 2013-08-29
US8932802B2 (en) 2015-01-13
CN104115257A (zh) 2014-10-22
JP2015515641A (ja) 2015-05-28
US20130224665A1 (en) 2013-08-29

Similar Documents

Publication Publication Date Title
JP5771339B2 (ja) 原子層堆積リソグラフィ
US11257674B2 (en) Eliminating yield impact of stochastics in lithography
US10685836B2 (en) Etching substrates using ALE and selective deposition
TWI631616B (zh) 利用循環蝕刻製程對蝕刻停止層進行蝕刻的方法
US9633867B2 (en) Method and apparatus for anisotropic tungsten etching
KR20200067213A (ko) Ale 및 선택적 증착을 사용한 금속 옥사이드 기판들 에칭
TWI675397B (zh) 利用掩模及方向性電漿處理之選擇性沉積
TW201635383A (zh) 使用一氧化氮活化之矽氧化物的同向性原子層蝕刻
KR20160084313A (ko) 실리콘 옥사이드 및 게르마늄 옥사이드에 대한 등방성 원자층 에칭
US20200373149A1 (en) In-situ atomic layer deposition process
TWI750364B (zh) 形成鈦矽化物區域之方法