TW201331997A - 在基板上沉積矽鍺錫層的方法 - Google Patents

在基板上沉積矽鍺錫層的方法 Download PDF

Info

Publication number
TW201331997A
TW201331997A TW102100949A TW102100949A TW201331997A TW 201331997 A TW201331997 A TW 201331997A TW 102100949 A TW102100949 A TW 102100949A TW 102100949 A TW102100949 A TW 102100949A TW 201331997 A TW201331997 A TW 201331997A
Authority
TW
Taiwan
Prior art keywords
source
tin
layer
sigesn
substrate
Prior art date
Application number
TW102100949A
Other languages
English (en)
Inventor
Yi-Chiau Huang
Errol Antonio C Sanchez
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201331997A publication Critical patent/TW201331997A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02535Group 14 semiconducting materials including tin
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Ceramic Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本文揭露在基板上沉積矽鍺錫(SiGeSn)層的方法。在某些實施例中,本發明之方法可包括下列步驟:在約450攝氏度或更低之溫度下,且在約100托耳或更低之壓力下,將矽源、鍺源及錫源共同流入製程腔室,以於基板之第一表面上沉積該SiGeSn層,其中該錫源包含錫鹵化物。在某些實施例中,該錫鹵化物包含四氯化錫(SnCl4)。

Description

在基板上沉積矽鍺錫層的方法
本發明之實施例一般關於在基板上沉積層的方法,且更特別關於在基板上沉積矽鍺錫(SiGeSn)層的方法。
如矽鍺錫(SiGeSn)之IV族元素的三元合金所具有的鍵結結構是依據合金的成分來決定。諸如鍺(Ge)或錫(Sn)等組成元素之成分的調和可容許對合金中之鍵結結構或應變(strain)的獨立調和。舉例而言,對合金中之鍵結結構或應變的調和可用來增進電子遷移率、調整接合電阻或與從半導體材料形成電子元件有關的其它合適的態樣。不幸地,用來形成SiGeSn合金的慣用錫源不是稀有就是不穩定或二者皆是。範例錫源可為氫化錫等。錫源的不穩定性可能導致不良的合金品質,如因從錫源併入錫(Sn)失敗之故而不具有適當的鍵結結構或應變,及/或缺乏製造再現性(manufacturing reproducibility)。
因此,本發明提供了在基板上沉積SiGeSn層的改良方法。
本文揭露了將矽鍺錫(SiGeSn)層沉積於基板上的方法。在某些實施例中,一種方法可包括下列步驟:在約450攝氏度或更低之溫度下,且在約100托耳或更低之壓力下,將矽源、鍺源及錫源共同流入製程腔室,以於基板之第一表面上沉積SiGeSn層。在某些實施例中,錫鹵化物包含四氯化錫(SnCl4)。
在某些實施例中,可提供電腦可讀取媒體,在該電腦可讀取媒體儲存有指令,當所述指令被執行時,可導致在製程腔室中進行將矽鍺錫(SiGeSn)層沉積於基板上的方法。該方法可包括本文所揭露之方法的任何實施例。
本發明的其它及進一步之實施例描述於下文。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
202‧‧‧基板
204‧‧‧第一表面
206‧‧‧第二表面
208‧‧‧基板
210‧‧‧介電層
214‧‧‧SiGeSn層
216‧‧‧SiGeSn層的一部分
218‧‧‧蝕刻劑
300‧‧‧設備
302‧‧‧處理腔室
304‧‧‧可選的噴淋頭
306‧‧‧熱源
308‧‧‧基板支撐件
310‧‧‧化學輸送系統
312‧‧‧前驅物接觸腔室
314‧‧‧導管
316‧‧‧第一交叉流氣體導管
318‧‧‧第二交叉流氣體導管
320‧‧‧側進入點
322‧‧‧第一導管
324‧‧‧第二導管
326‧‧‧清潔氣體管線
328‧‧‧供給系統
330‧‧‧排放系統
332‧‧‧排放蓋
334‧‧‧活性源
336‧‧‧凝結阱
338‧‧‧閥
340‧‧‧排放導管
350‧‧‧入口
352‧‧‧真空泵
354‧‧‧減量導管
356‧‧‧減量系統
358‧‧‧被清潔之氣體排放處
360‧‧‧側壁
362‧‧‧氣體供給導管
364‧‧‧氣體供給導管
366‧‧‧節流閥
368‧‧‧護套
370‧‧‧控制器
372‧‧‧中央處理單元
374‧‧‧記憶體
376‧‧‧支援電路
可藉由參照描繪於隨附圖式中之本發明的說明性實施例,而瞭解以上所簡述且更詳細於下文中討論之本發明的實施例。然而,應注意的是,隨附圖式僅為說明本發明之典型實施例,而非用於限制其範疇,本發明亦允許其它等效實施例。
第1圖描繪根據本發明的某些實施例的將矽鍺錫(SiGeSn)層沉積於基板上之方法的流程圖。
第2A至2D圖分別描繪根據本發明的某些實施例的於基板上製造矽鍺錫(SiGeSn)層的階段。
第3圖描繪根據本發明的某些實施例的用於在基板上沉積層的設備。
為方便瞭解,在可能情況下已使用相同元件符號以 指出諸圖所共有之相同元件。圖式並非按比例繪製,且可能為了清晰之故而加以簡化。可考慮將一個實施例之元件及特徵有利地併入其它實施例,而無需進一步記載。
本文揭露了將矽鍺錫(SiGeSn)層沉積於基板上的方法。本發明之方法有利地增進層品質,如達到期望的鍵結結構或層應變,及改進的製造再現性。以下將討論本發明之方法的其它及進一步優點。
第1圖描繪根據本發明的某些實施例的將SiGeSn層沉積於基板上之方法100的流程圖。以下根據在基板上製造SiGeSn層的階段來描述方法100,根據本發明的某些實施例的於基板上製造SiGeSn層的各階段圖示於第2A至2D圖中。如第2A圖所圖示,基板202可包括第一表面204及第二表面206。在某些實施例中,第一表面204可如圖所示為基板208的被暴露表面。舉例而言,基板208可包括矽(Si)、鍺(Ge)、錫(Sn)中之一或多者,或可包括其它合適的基板材料。舉例而言,基板可為矽基板、鍺基板、矽-鍺(SiGe)基板、鍺-錫基板(GeSn)等等。在某些實施例中,第二表面206可為介電層(如設置於基板208上之介電層210)的一部分。舉例而言,介電層可包含二氧化矽(SiO2)、氮化矽(Si3N4)或可用來形成介電層的其它合適材料中之一或多者。
圖示於第2A圖之基板202的實施例僅為範例,且基板202可能有其它合適的配置。舉例而言,如第2A圖所圖示,基板202可為部份形成之元件的一部分,所述元件如金 氧半導體場效電晶體(metal-oxide-semiconductor field effects transistor;MOSFET)。然而,本文所揭露的本發明之方法也可用於其它元件,如鰭式場效電晶體(fin field effects transistors;FinFETs)或類似者。在互補金氧半導體(CMOS)等應用中,本文所形成的SiGeSn層可作為光電能帶隙(opto-electronic bandgap)材料而被用於源極/汲極區域或通道區域中。
方法100通常藉由將矽源、鍺源及錫源共同流入而使於102,其中錫源包含錫鹵化物。舉例而言,矽源可包括一或多種矽烷(SixHy),其中,例如,y=2x+2,如二矽烷(Si2H6)、三矽烷(Si3H8)、新戊矽烷(Si5H12)或其它合適的較高階矽烷。矽源可包括氯化矽烷,例如,具有通式SixHyClz的氯化矽烷,其中y+z=2x+2,且x=1、2、…。範例氯化矽烷可包括二氯矽烷(SiH2Cl2)、四氯矽烷(SiCl4)等等。在某些實施例中,諸如在約450攝氏度或更低(例如,範圍自約300至約450攝氏度)的溫度下,及在期望SiGeSn層中有較高的錫濃度(例如,約百分之8至約百分之9的錫)的情況下,可能需要以諸如三矽烷及/或新戊矽烷等較高階矽烷作為矽源。舉例而言,鍺源可包括諸如二鍺烷(Ge2H6)、三鍺烷(Ge3H8)等一或多種鍺烷(GexHy),其中,舉例來說,y=2x+2,以及較高階的氫化鍺等等。鍺源可為氯化鍺烷,例如具有通式GexHyClz的氯化鍺烷,其中y+z=2x+2,x=1、2、…。範例氯化鍺烷可包括四氯化鍺(GeCl4)等。錫源可包括錫鹵化物,如一或多種氯化錫(SnCl1-4)。在某些實施例中,錫源可包含四氯化錫 (SnCl4)。相較於如上所述的稀有且不穩定之錫源而言,使用錫鹵化物作為錫源可提供如上所討論之增進的層品質、可製造性等。
錫鹵化物可為如上所討論的錫鹵化物氣體,或具有化學式RxSnCly的有機金屬氯化物,其中R為甲基或第三丁基,x為1或2,且y為2或3。可在任何合適的流速下將錫鹵化物提供至處理腔室,合適的流速可例如,如介於約0.1 sccm與約300 sccm之間,如介於約1 sccm與約200 sccm之間,或約10 sccm的流速。錫鹵化物也可與載氣混合,以在處理腔室中達到期望的空間速度及/或混合效率。錫鹵化物可源自於錫鹵化物結晶的固態或液態源,或昇華進入流動載氣流內的固體,或蒸發進入流動載氣流內的液體,所述載氣流可如包括氮(N2)、氫(H2)、氬(Ar)或氦(He)中之一或多者的載氣流,或者可藉由在接觸腔室中使鹵素氣體(視情況伴隨著上述載氣中之一)通過固態錫上來進行反應Sn+2Cl2→SnCl4,以產生錫鹵化物。於下文根據設備300討論接觸腔室,且接觸腔室可與處理腔室相鄰,並藉由導管耦接處理腔室,較佳地使用短導管以降低錫鹵化物顆粒沉積於導管中的可能性。
在某些實施例中,第二氣體(如氫(H2)、氮(N2)、氦(He)、氬(Ar)等氣體中之一或多者)可與矽源、鍺源及錫源中之任何一或多者共同流入。在某些實施例中,第二氣體可用來自矽、鍺及/或錫源中釋放矽、鍺及/或錫,以進行沉積。在某些實施例中,可使用第二氣體作為載氣,以達到矽、鍺及/或錫源之期望流動速度。舉例而言,可使用較高的流動速度 來增進正在形成之矽-鍺-錫(SiGeSn)層的均勻度。
矽源、鍺源及錫源可在任何合適的比例下共同流入,以產生具有Si(1-x-y)GexSny之通常化學劑量比例的SiGeSn層(如,下文所討論之SiGeSn層214),其中0<x、y<1且0<x+y<1。x及y的調和可使SiGeSn層中的鍵結結構或應變能被調和而不影響其它性質。可在約450攝氏度或更低的溫度(例如範圍自約300至約450攝氏度)下共同流入矽源、鍺源及錫源。可在約100托耳或更低的壓力(例如範圍自約5至約100托耳)下共同流入矽源、鍺源及錫源。可在前述溫度範圍中之較低溫度下達成在SiGeSn層中之較高的錫濃度。如上所討論,在前述溫度範圍中之較低溫度下,可能需要較高階的矽烷。舉例而言,在前述溫度範圍中之較高溫度下,且當錫的期望濃度為約百分之1至約百分之2時,可利用諸如二矽烷等較低階的矽烷。
視情況,可與矽源、鍺源及錫源共同流入額外材料源,例如,摻質源。典型的摻質可包括硼(B)、磷(P)、砷(As)等中之一或多者。舉例而言,摻職源可包括二硼烷(B2H6)、膦(PH3)、胂(AsH3)等。也可利用額外的摻質及/或摻質源。
於104,可如上文所討論般,藉由共同流入矽源、鍺源及錫源,而在基板208的第一表面204上沉積SiGeSn層214。舉例而言,可藉由任何合適的沉積方法來沉積SiGeSn層214,如減壓化學氣相沉積(CVD)或任何其它合適的沉積方法。SiGeSn層214可被沉積達任何期望的厚度,如約0.001至約10微米。
如第2B圖所繪示,SiGeSn層214的一部分216可被沉積於介電層210的第二表面206上。在某些實施例中,如第2C圖所繪示,可使用蝕刻劑218來蝕刻SiGeSn層214,以選擇性移除已被沉積於介電層210的第二表面206上之SiGeSn層214的該部分216。舉例而言,可同步或交替進行SiGeSn層214的沉積及蝕刻。蝕刻劑218可包含氯(Cl)或溴(Br)中之至少一者,且可視情況包含氫(H)。舉例而言,在某些實施例中,蝕刻劑可為氯化氫(HCl)、溴化氫(HBr)、氯(Cl2)或溴(Br2)中之至少一者。
第2D圖繪示生長達到期望厚度的SiGeSn層214,其中在如上所述的選擇性蝕刻製程之後,該SiGeSn層214不存在於介電層210的第二表面206上。
第3圖為根據另一個實施例的設備300之概要圖。設備300有利於實現本文所述之用於形成SiGeSn層的方法。處理腔室302具有基板支撐件308,基板支撐件308可為設置於處理腔室302內部的旋轉基板支撐件。熱源306經設置而面向基板支撐件308的一側。或者,熱源可埋入基板支撐件308。如於2007年2月6日核准,名稱為「Method for forming a high quality low temperature silicon nitride film」的共同讓與之美國專利第7,172,792號所述的具有經加熱之基板支撐件的腔室,可適用於實現本文所述之方法。如於2008年3月27日公開,名稱為「Modular CVD Epi 300 mm Reactor」的共同讓與之美國專利公開第2008/0072820號所述的具有燈泡加熱模組的腔室,也可適用於實現本文所述之方法。皆可購自加 州聖大克勞拉市的應用材料股份有限公司的EpiTM 300 mm反應器或300 mm xGenTM腔室可適用於完成並使用本文所述之實施例。處理腔室302可具有噴淋頭304供氣體進入腔室。替代或組合,氣體可經由側進口320提供至處理腔室,側進口320耦接腔室302的側壁360。
供給系統328,包括化學輸送系統310及金屬前驅物接觸腔室312,可經由多個導管耦接至腔室302。第一導管322及第二導管324可將供給系統328耦接至可選的噴淋頭304。噴淋頭304可為雙路徑(dual-pathway)噴淋頭,以防止材料源(如,矽源、鍺源及/或錫源)在進入腔室302之前混合。範例雙路徑噴淋頭描述於2006年1月10日核准,名稱為「Gas distribution showerhead for semiconductor processing」的共同讓與之美國專利第6,983,892號中。
替代地或額外地,可藉由提供第一及第二交叉流(cross-flow)氣體導管316及318至側進入點320,來實現交叉流氣體注射(cross-flow gas injection)。交叉流注射配置的一個實例描述於美國專利第6,500,734號中。設備300可含有噴淋頭配置及交叉流注射配置二者(視情況具有可調和之二者間的氣流比例),或僅含有其中一種或另一種配置。
化學輸送系統310可視情況以諸如氮(N2)及/或氫(H2)等載氣將矽源、鍺源或錫源輸送至腔室302。化學輸送系統310也可將沉積或選擇性控制物種輸送至腔室302。化學輸送系統310可包括液態或氣態源及控制件(未繪示),液態或氣態源及控制件可被配置於氣體分配板中。
接觸腔室312可藉由導管314耦接至側進入點320或噴淋頭304,導管314經設置以將金屬前驅物攜至腔室302。可將導管314、316及322加熱至介於約50℃與約200°C之間的溫度,以控制或避免金屬前驅物於導管中凝結。接觸腔室312典型含有固態金屬或金屬鹵化物結晶的床。金屬鹵化物結晶(如,錫鹵化物)可被昇華為載氣並透過氣體供給導管362及364中之一或二者提供。固態金屬可與透過氣體供給導管362及364中之一或二者所提供的鹵素氣體源接觸。在一個實施例中,可透過第一氣體供給導管362提供鹵素氣體源,而可透過第二氣體供給導管364提供載氣。無論是用於昇華或反應的氣體皆可能流經粉末化的金屬或金屬鹵化物流體化床,以增進接觸。可使用篩網粗濾器(mesh strainer)或過濾器來避免粒子被挾帶進入腔室302。或者,氣體可流過固定的固態金屬或金屬鹵化物床。
排放系統330耦接腔室302。排放系統330可在任何合宜位置處耦接腔室,合宜位置可取決於氣體進入腔室的位置。就氣體透過噴淋頭304進入的情況而言,排放系統可例如,藉由一或多個進口(portal)或透過環形開口耦接腔室的底壁,並位在熱源306周圍。於某些實施例中,可將環狀岐管設置在接近基板支撐件的邊緣處,並耦接排放系統330。就交叉流實施例而言,排放系統330可耦接與側進入點320相對之腔室側壁。
排放導管340經由節流閥366將排放蓋332耦接至真空泵352。護套368可自排放蓋332至真空泵352的入口 350環繞排放導管340及節流閥366。護套368容許對排放導管340的熱控制,以避免排放物種於管線中凝結。任何加熱介質,如蒸氣、或熱空氣、水、或其它熱流體可被用於將排放導管維持在排放氣體的露點以上之溫度。或者,護套可包括阻抗式加熱元件(如,電毯)。若有需要的話,可藉由閥338將凝結阱(condensation trap)336耦接至排放導管340,以進一步增進對排放系統330中之任何凝結物的捕捉。真空泵352透過減量導管(abatement conduit)354佈線(pay off)至減量系統356,通常不會加熱減量導管354或為減量導管354設置護套,且被清潔之氣體於358排放。為了近一步減少排放導管340中的潮濕及成核反應,可以石英或以惰性聚合物材料塗佈排放導管340。
可藉由活性源334將電漿或紫外線活化的清潔劑耦接進入排放系統330,活性源334可耦接微波或RF腔室,以產生活性清潔物種。清潔氣體管線326可自化學輸送系統310將清潔氣體提供至排放導管340,且若需要的話透過活性源334進行。用於清潔之活性物種的使用使清潔能在降低的溫度下進行。
用於清潔進行本文所述之方法的腔室(如腔室302)的方法可包括:將鹵素氣體提供至腔室,將殘留物轉化成揮發性鹵化物。於清潔期間,腔室的溫度典型維持在低於約600℃,且金屬沉積物被轉化為MClx。鹵素氣體可為氯氣、氟氣、HCl或HF。可加熱腔室達到不需要單獨加熱排放導管的程度,特別是若排放導管被絕緣時。或者,若需要的話,可將 腔室溫度維持在低於約400℃,並加熱排放導管340以避免凝結。
可提供控制器370並將控制器370耦接設備300的多個部件,以控制該等部件的運作。控制器370包括中央處理單元(CPU)372、記憶體374以及支援電路376。控制器370可直接控制設備300,或透過與特定製程腔室及/或支撐系統部件相關的電腦(或控制器)來控制設備300。控制器370可為任何形式的通用電腦處理器之一,通用電腦處理器可用於供控制多種腔室及次處理器所用之工業設定中。控制器370的記憶體或電腦可讀取媒體374可為諸如本地或遠端之隨機存取記憶體(random access memory;RAM)、唯讀記憶體(read only memory;ROM)、軟碟、硬碟、光學儲存媒體(如,光碟(compact disc)或數位影音碟(digital video disc))、快閃記憶碟(flash drive)或任何其它形式的數位儲存器等容易獲得的記憶體中之一或多者。支援電路376耦接CPU 372,並以習用的方式支援處理器。這類電路包括快取記憶體、電源供應、時脈電路、輸入/輸出電路及次系統等。如本文所述之本發明的方法可儲存於記憶體374中作為軟體例行程序,軟體例行程序可被執行或呼叫以用本文所述的方式控制製程腔室300的運作。也可由第二CPU(未繪示)儲存及/或執行軟體例行程序,第二CPU可位在受CPU 372控制之硬體的遠端。
儘管前述內容指向本發明的實施例,但在不悖離本發明的基本範疇下可發想出本發明的其它及進一步實施例。
100‧‧‧方法
102、104‧‧‧步驟

Claims (20)

  1. 一種於一基板上沉積矽鍺錫(SiGeSn)層的方法,包含下列步驟:在約450攝氏度或更低之一溫度下,且在約100托耳或更低之一壓力下,將一矽源、一鍺源及一錫源共同流入一製程腔室,以於該基板之一第一表面上沉積該SiGeSn層,其中該錫源包含一錫鹵化物。
  2. 如請求項1所述之方法,其中該鹵化物包含四氯化錫(SnCl4)。
  3. 如請求項1所述之方法,進一步包含下列步驟:將該矽源、該鍺源及該錫源中之一或多者與一第二氣體共同流入。
  4. 如請求項3所述之方法,其中該第二氣體包含氫(H2)、氮(N2)、氬(Ar)或氦(He)中之至少一者。
  5. 如請求項1至4中任一項所述之方法,其中該矽源包含矽烷或氯化矽烷中之一或多者。
  6. 如請求項1至4中任一項所述之方法,其中該鍺源包含鍺 烷或氯化鍺烷中之一或多者。
  7. 如請求項1至4中任一項所述之方法,進一步包含下列步驟:蝕刻該SiGeSn層,以自一介電層之一第二表面選擇性移除該SiGeSn層的一部分,該介電層設置於該基板上鄰近該基板之該第一表面。
  8. 如請求項7所述之方法,其中沉積該SiGeSn層及蝕刻該SiGeSn層係同步進行。
  9. 如請求項1至4中任一項所述之方法,進一步包含下列步驟:將該矽源、該鍺源及該錫源中之一或多者與一摻質源共同流入。
  10. 如請求項9所述之方法,其中該摻質源係二硼烷(B2H6)、膦(PH3)或胂(AsH3)中之一或多者。
  11. 如請求項1至4中任一項所述之方法,其中該SiGeSn層中之錫(Sn)的一濃度為約8至約9%,且其中該矽源氣體包含矽烷或氯化矽烷中之一或多者。
  12. 如請求項1至4中任一項所述之方法,其中該矽鍺錫(SiGeSn)層係沉積達約0.001至約10微米之一厚度。
  13. 如請求項1至4中任一項所述之方法,其中在約300至約450攝氏度之一溫度下將該矽源、該鍺源及該錫源共同流入。
  14. 如請求項1至4中任一項所述之方法,其中在約5至約100托耳之一壓力下將該矽源、該鍺源及該錫源共同流入。
  15. 一種電腦可讀取媒體具有多個指令儲存於該電腦可讀取媒體上,當執行該等指令時能導致在一製程腔室中進行如請求項1至4中任一項所述之將矽鍺錫(SiGeSn)層沉積於一基板的一方法。
  16. 如請求項15所述之電腦可讀取媒體,其中該矽源包含矽烷或氯化矽烷中之一或多者,且其中該鍺源包含鍺烷或氯化鍺烷中之一或多者。
  17. 如請求項15所述之電腦可讀取媒體,其中該方法進一步包含下列步驟:蝕刻該SiGeSn層,以自一介電層之一第二表面選擇性移 除該SiGeSn層的一部分,該介電層設置於該基板上鄰近該基板之該第一表面。
  18. 如請求項15所述之電腦可讀取媒體,其中該方法進一步包含下列步驟:將該矽源、該鍺源及該錫源中之一或多者與一摻質源共同流入。
  19. 如請求項15所述之電腦可讀取媒體,其中該方法使該SiGeSn層中之錫(Sn)的一濃度為約8至約9%,且其中該矽源氣體包含矽烷或氯化矽烷中之一或多者。
  20. 如請求項15所述之電腦可讀取媒體,其中該方法進一步包含下列步驟:在約300至約450攝氏度之一溫度下,且在約5至約100托耳之一壓力下,將該矽源、該鍺源及該錫源共同流入。
TW102100949A 2012-01-13 2013-01-10 在基板上沉積矽鍺錫層的方法 TW201331997A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261586181P 2012-01-13 2012-01-13
US13/736,417 US20130183814A1 (en) 2012-01-13 2013-01-08 Method of depositing a silicon germanium tin layer on a substrate

Publications (1)

Publication Number Publication Date
TW201331997A true TW201331997A (zh) 2013-08-01

Family

ID=48780253

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102100949A TW201331997A (zh) 2012-01-13 2013-01-10 在基板上沉積矽鍺錫層的方法

Country Status (3)

Country Link
US (1) US20130183814A1 (zh)
TW (1) TW201331997A (zh)
WO (1) WO2013106408A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI552228B (zh) * 2013-09-26 2016-10-01 英特爾股份有限公司 在微電子裝置中形成低帶隙源極和汲極結構之方法
CN109216158A (zh) * 2017-07-05 2019-01-15 Asm Ip控股有限公司 形成硅锗锡层的方法和相关的半导体器件结构

Families Citing this family (344)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8647439B2 (en) * 2012-04-26 2014-02-11 Applied Materials, Inc. Method of epitaxial germanium tin alloy surface preparation
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US20140077339A1 (en) * 2012-09-14 2014-03-20 Radek Roucka DELTA DOPING AT Si-Ge INTERFACE
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
CN103839829A (zh) * 2014-02-25 2014-06-04 清华大学 具有SiGeSn沟道的鳍式场效应晶体管及其形成方法
CN103839786A (zh) * 2014-02-25 2014-06-04 清华大学 选区SiGeSn层及其形成方法
CN103839830A (zh) * 2014-02-25 2014-06-04 清华大学 具有SiGeSn沟道的MOSFET及其形成方法
CN103839789A (zh) * 2014-02-25 2014-06-04 清华大学 选区SiGeSn层及其形成方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
CN103972106A (zh) * 2014-05-05 2014-08-06 清华大学 具有SiGe源漏的MOSFET及其形成方法
CN103972104A (zh) * 2014-05-05 2014-08-06 清华大学 具有SiGe沟道的鳍式场效应晶体管及其形成方法
CN103972105A (zh) * 2014-05-05 2014-08-06 清华大学 具有SiGe沟道的MOSFET及其形成方法
DE102014108352A1 (de) * 2014-06-13 2015-12-17 Forschungszentrum Jülich GmbH Verfahren zum Abscheiden einer Kristallschicht bei niedrigen Temperaturen, insbesondere einer photolumineszierenden IV-IV-Schicht auf einem IV-Substrat, sowie ein eine derartige Schicht aufweisendes optoelektronisches Bauelement
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
CN109037072A (zh) * 2014-10-30 2018-12-18 中芯国际集成电路制造(上海)有限公司 鳍式场效应管及其形成方法
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) * 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9966438B2 (en) 2016-09-19 2018-05-08 Applied Materials, Inc. Method of doped germanium formation
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10347581B2 (en) 2017-03-22 2019-07-09 International Business Machines Corporation Contact formation in semiconductor devices
US10319722B2 (en) 2017-03-22 2019-06-11 International Business Machines Corporation Contact formation in semiconductor devices
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10685884B2 (en) 2017-07-31 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including a Fin-FET and method of manufacturing the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
EP3486950B1 (en) * 2017-11-15 2020-05-27 IMEC vzw Method for fabricating a horizontal gate-all-around field effect transistor
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10923595B2 (en) * 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
DE102018106581B4 (de) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202235659A (zh) * 2021-01-18 2022-09-16 美商應用材料股份有限公司 選擇性SiGeSn:B沉積
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984591B1 (en) * 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
WO2004114368A2 (en) * 2003-06-13 2004-12-29 Arizona Board Of Regents, A Body Corporate Of The State Of Arizona Acting For And On Behalf Of Arizona State University METHOD FOR PREPARING GE1-x-ySnxEy (E=P, As, Sb) SEMICONDUCTORS AND RELATED Si-Ge-Sn-E AND Si-Ge-E ANALOGS
DE102005051994B4 (de) * 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
US20090022958A1 (en) * 2007-07-19 2009-01-22 Plombon John J Amorphous metal-metalloid alloy barrier layer for ic devices
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
JP5771417B2 (ja) * 2010-03-26 2015-08-26 株式会社半導体エネルギー研究所 リチウム二次電池の電極の作製方法及びリチウムイオンキャパシタの電極の作製方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI552228B (zh) * 2013-09-26 2016-10-01 英特爾股份有限公司 在微電子裝置中形成低帶隙源極和汲極結構之方法
US10115822B2 (en) 2013-09-26 2018-10-30 Intel Corporation Methods of forming low band gap source and drain structures in microelectronic devices
CN109216158A (zh) * 2017-07-05 2019-01-15 Asm Ip控股有限公司 形成硅锗锡层的方法和相关的半导体器件结构
CN109216158B (zh) * 2017-07-05 2024-01-09 Asm Ip控股有限公司 形成硅锗锡层的方法和相关的半导体器件结构

Also Published As

Publication number Publication date
WO2013106408A1 (en) 2013-07-18
US20130183814A1 (en) 2013-07-18

Similar Documents

Publication Publication Date Title
TW201331997A (zh) 在基板上沉積矽鍺錫層的方法
CN107675250B (zh) 选择性沉积外延锗合金应力源的方法与设备
TWI598458B (zh) 藉由熱cvd形成鍺錫合金之方法與設備
TWI675122B (zh) 用以在敏感基板上沉積薄膜的方法
KR101027266B1 (ko) 하나 이상의 유전층을 형성시키는 방법 및 시스템
US20060286774A1 (en) Method for forming silicon-containing materials during a photoexcitation deposition process
CN105742157A (zh) 氧化锗预清洁模块和方法
US20060286776A1 (en) Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286775A1 (en) Method for forming silicon-containing materials during a photoexcitation deposition process
JP2008507130A5 (zh)
TWI566277B (zh) 在基板上沉積iii-v族層的方法
JP2011146711A5 (zh)
TWI590310B (zh) 沉積含錫層於基板上之方法
JP4039385B2 (ja) ケミカル酸化膜の除去方法
JP2020532871A (ja) 共形ドーパント膜堆積を使用した、3d構造体の共形ハロゲンドーピング
TWI515803B (zh) 矽化鉭內的摻雜鋁
US20070254451A1 (en) Process for forming a silicon-based single-crystal portion
JP6896291B2 (ja) タングステンとゲルマニウムの化合物膜及び半導体装置
TW202413687A (zh) 單晶圓反應器、低溫熱矽氮化物沉積