TW201139718A - Nitrogen doped amorphous carbon hardmask - Google Patents

Nitrogen doped amorphous carbon hardmask Download PDF

Info

Publication number
TW201139718A
TW201139718A TW100108782A TW100108782A TW201139718A TW 201139718 A TW201139718 A TW 201139718A TW 100108782 A TW100108782 A TW 100108782A TW 100108782 A TW100108782 A TW 100108782A TW 201139718 A TW201139718 A TW 201139718A
Authority
TW
Taiwan
Prior art keywords
nitrogen
amorphous carbon
substrate
doped amorphous
gas
Prior art date
Application number
TW100108782A
Other languages
English (en)
Inventor
Siu F Cheng
Jacob Janzen
Deenesh Padhi
Bok Hoen Kim
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201139718A publication Critical patent/TW201139718A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Description

201139718 六'發明說明: 【發明所屬之技術領域】 本發明的實施例大體上關於積體電路的製造,特別是 關於氮摻雜的非晶形碳層與用於沉積氮摻雜的非晶形碳 層於半導體基材上的製程。 【先前技術】 積體電路已進展至可在單—晶片上包括數百萬個電晶 體、電容器與電阻器的複雜元件。晶片設計的進展持續 需要更快速的電路與更大的電路密度。更快速電路及更 大電路密度的要求對用於製造此類積體電路的材料施加 j應的*求。特別是,當積體電路部件的尺度減少到 微米尺度時,已需使用不僅是低電阻率的材料(諸如 銅)改善元件的雷早袖故 _ b ’還需使用低介電常數絕緣材 料(經常是指低k介雷奸姐、. -’丨電材枓)。低k介電材料大體上具有 低於3.8的介電常數。 ,生產八有低k介電材料且具有極少或無表面缺陷或特 撑口構變形的το件是充滿問題的。介電常數低於約a』 的,=介電材料經常多孔且後續製程步驟期間易受到擦 或才貝壞,因而增加报& U 、 形成在基材表面上的缺陷的可能性。 此類低k介電材料經常易脆,且可能在習知研磨製程 如化學機械研磨(_))下變形。—項限制或減少此類 低k介電材料的表面缺陷及變形的解決方案是在圖案化 201139718
與普虫刻之前沉積硬# I m牲 料於暴露的低k介電材料上。硬遮 罩防止知細的彻 八+ 声可…士— 損壞及變形。此外,硬遮罩 層τ做Μ合習知彡技㈣ 期間低,介電材料移除。 。卩防止银刻 此外’硬遮罩用於積體電路製造製程中幾乎每一步驟 以供前端與後端製程所杏 田件尺寸縮小而圖案結構 .‘于义、且難以製造時,蝕刻硬遮罩變得更加重要, 別可用的光阻無法符合㈣抗性的需求且光阻僅簡 單地用於影像轉移,而非做為光微影與㈣製程中㈣ 相罩才目反地’接收影像圖案的硬遮罩變成用於有效 蝕刻下伏層中圖案的主要材料。 非晶形的氫化的碳是可用做為尤其是金屬、非晶石夕及 介電材料(諸如二氧切或氮切材料)的硬遮罩。非 曰开/的氫化的碳(亦稱為非晶形碳且可註記為⑶或 C.H )視為具有無長範圍結晶順序的且 上可含有氮含量,例如約…原子百分比的氮之等
級。已觀察到非晶形碳具有化學惰性、光學穿透性、以 及優良的機械性質D 當元件圖案的特徵結構尺寸變得更小,臨界尺度 (critical —οη,CD)需求變成在穩定與可重複的元件 It *b上更重要的規格要求。為了達成需求’需要使 用更具㈣抗性及/或更厚的遮罩材料,或者改善蚀刻製 私的蚀刻選擇性。之前的選項增加了生產步驟的數目, &成每晶圓更高的成本以及複雜的整合問題。 201139718 因此’需要提供增加蝕刻選擇性的材料與方法,使得 能夠符合特徵結構的CD需求。 【發明内容】 本發明的實施例大體上關於積體電路的製造,特別是 關於氮摻雜的非晶形碳層與用於沉積氮換雜的非晶形破 層於半導體基材上的製程。在_個實施例中,提供形成 氮摻雜非晶形碳層於基材上的方法。該方法包含以下步 驟··將一基材定位在一基材處理腔室中;將一含氮碳數 化合物(nitrogen _taining hydr〇carb〇n)源導入該處理 腔室;將一碳氫化合物源導入該處理腔室;將—電漿起 始氣體導入該處理腔室;在該處理腔室中生成一電衆; 以及形成一氮摻雜非晶形碳層於該基材上。 包含以下步驟··形成-個或多個氮摻雜非晶形碳層於一 基材上;界^一圖案於該—個或多個氮摻雜非晶形碳層 的至少-個區域中;以及使用一個或多個氮摻雜非晶形 碳層做為1罩,而將界定在該—個或多個氮掺雜非晶 形碳層的該至少一個區域中的該圖案轉移進入該基材. 形成一個或多個氮摻雜非晶形碳層於一基材上^2驟是 透過以下步驟完成,將一基材定位於一沉積腔室争提 供-氣體混合物到該沉積腔室,其中該氣體現合物包含 一含氮碳氫化合物源、一個或多個碳氫化合物、以 201139718 惰氣;以及在該處理腔室中生成—電漿,以分解該氣體 混合物中的該一個或多個碳氫化合物以及該含氮碳氫化 合物源,而形成該一個或多個氮摻雜非晶形碳層於該基 材上。 【實施方式】 本發明實施例大體上關於積體電路的製造,特別是關 於氮摻雜非晶形碳層與用於沉積氮摻雜非晶形碳層於半 導體基材上的製程。在某些此述實施例中,相較於當前 最先進的非晶形碳膜,含氮非晶形碳膜呈現實質上對氧 化物的改善的總括蝕刻選擇性(etch selectivity (ES))。 此較高的蝕刻選擇性容許3x節點以下所期望的較薄之 硬遮罩厚度。 第1圖是根據此述之實施例的基材處理系統(系統 100 )之概略示意圖’該系統能用於根據此述實施例的非 晶形碳層沉積。適合的系統之範例包括CENTURA®系統 (其可使用DxZTM處理腔室)' pRECISI〇n 5000⑧系統、 PRODUCERTM系統,諸如pR0DUCER setm處理腔室與 PRODUCER GTtm處理腔室,上述者皆可購自美國加州 Santa Clara的應用材料公司。 系統100包括製程腔室125、氣體板130、控制單元 110與其他諸如電源供應器及真空系之類的硬體部件。 用在此述實施例中的系統之一個實施例的進一步細節描 201139718 述於共同讓渡的美國專利6,364,954號,發明名稱為 「High Temperature Chemical Vapor Deposition
Chamber」,於2002年4月2曰頒發。 裝程腔至125夫體上包含基材支樓基座15〇,其用於 支撐諸如半導體基材190之類的基材。此基材支撐基座 150於製程腔室125内側使用耦接桿件16〇的位移機構 (圖中未示)在垂直方向上移動。視該製程而定,半導 體基材190可在處理前加熱到期望的溫度。基材支撐基 座150是以嵌入的加熱元件17〇加熱。例如,基材支撐 基座150可透過從電源供應器1〇6施加電流至加熱元件 170而被加熱。半導體基材丨9〇進而由基材支撐基座 加熱。溫度感測器172 (諸如熱偶)亦嵌於基材支撐基 座中,以監視基材支揮基座15〇的溫度。該所測量 的溫度是用於反饋迴圈以對加熱元件17Q㈣電源供應 器106。基材溫度可維持或控制在選以特殊製程應用的 溫度。 真空泵102用於抽空製程腔室125且維持適當的氣體 流動與製程腔冑125内側的塵力。製程氣體藉以通過而 導入製程腔室125的喷頭12G位在基材支撑基座15〇上 方,且適於使進人製程腔室125的製程氣體均勻分佈。 喷頭㈣連接氣體板13G’該氣體板控制與供應各種用 在製程序列不时驟中的製程㈣。㈣«可包括含 氮的碳氫化合物源、碳氫化合物源、以及電漿起始氣體, 在下文中’將更詳細地與示範性氮摻雜非晶形碳層沉積 201139718 製程一併描述。 物 氣體板130 。雖然圖中 亦可用於控制及供應各種氣化的液體前驅 未不,但來自液體前驅物供應器的液體前 可由例如液體注射氣化器所氣化,並且在載氣的存 在下傳遞到製程腔室125。載氣—般是惰氣(諸如氣) 或貴重氣體(諸如氬或氦)。或者,可由安親透過熱及/ 或真空強化氣化製程而氣化液體前驅物。 喷頭120與基材支樓基座15〇亦可形成一對間隔的電 極。當電場在這些電極間生成時,導入腔冑125的製程 體點燃成電t 192。-般而言’電場是藉由將基材支 撐基座150透過匹配網路(圖中未示)連接單一頻率或 雙重頻率射頻(RF)功#(圖中未示)#生成。或者, RF功率源與匹配網路可耦接喷頭120,或耦接喷頭12〇 與基材支撐基座150二者。 透過將電%施加至接近基材表面的反應區,PEcvd技 術促使反應氣體的激發及/或解離,而創造反應性物料之 電衆。電漿中物料的反應性減少化學反應發生所需求的 能量’而有效降低此類PECVD製程所需之溫度。 適當控制與調節通過氣體板13〇的氣體與液體流動是 由質流控制器(圖中未示)與諸如電腦之類的控制單元 110所執行。噴頭120容許來自氣體板13〇的製程氣體 均勻分佈並且導入製程腔室125。在說明上,控制單元 110包含中央處理單元(CPU) 112、支援電路114與含 有相關聯之控制軟體116的記憶體。此控制單元11〇負 201139718 責基材處理所需的數個步驟的自動控制,諸如基材輸 迗、氣體流動控制、液體流動控制、溫度控制及腔室抽 空等。當製程氣體混合物離開噴頭12〇,碳氫化合物的 電漿強化熱分解發生在半導體基材190的表面195處, 造成氮摻雜的非晶形碳層沉積在半導體基材190上。 氮摻雜非晶形碳層的形成 此述的-個實施例中,氮穆雜非晶形碳層是透過包括 將碳氫化合物源、含氮碳氫化合物源、及電漿起始氣體 導進製程腔室(諸如上文-併與第1圖所述的處理腔室 125)的製程所形n個實施例中碳氫化合物源是 一或多個碳氫化合物及視情況任選的載氣(諸如氬)的 混合物。在某些實施例中’不需要碳氫化合物源,而氮 捧雜非晶形碳層是透過將含氣碳氫化合物源與電漿起始 氣體導入而形成。 完全摻雜的碳氫化合物之衍生 氟、含氧、含羥基及含硼衍生 碳氫化合物可為部份或 物’包括碳氫化合物之含 物0 以化學式CxHy描述,其中 物 x ”有1至1〇之間的範圍 而y具有2至30之間的範圍。 適合的碳氫化合物包衽T *丨化Λ 叨匕栝下列化合物之一者或多者, 如:炔烴,諸如乙炔(c2H )、 , . 烯(C3H6)、丙炔(C3H4 丙烷(c3h8)、丁烷(c4H 丨 〇)、 J 增(C4H8)、丁二烯(C4h6 201139718 乙稀乙块、苯基乙炔、及前述者之衍生物;芳香族碳氣 化合物’諸如苯、苯乙烯、甲苯、二曱苯、乙苯'笨乙 酮、苯甲酸甲酯、醋酸苯酯、酚、甲酚及呋喃等;α_莊 品烯;異丙基甲苯;ι,ι,3,3-四曱基丁基笨 (1,1,3,3,-tetramethylbutylbenzene ); 第三丁峻 (t-butylether ),第三丁基乙烤(t-butylethylene );曱基 丙烯酸曱酯(methyl methacrylate );第三丁基咬喃鱗 (t-butylfurfurylether);具有化學式 C3H2 及 C5H4 的化合 物’ _化^•香族化合物’包括氟苯(m〇n〇flu〇r〇benzene )、 二氟苯(difluorobenzene )、四氟苯(tetrafluor〇benzene ) 及六I苯(hexafluorobenzene )等。額外適合的碳氫化 合物包括烯烴(諸如乙烯、丙烯、丁烯和戊晞等)、二稀 烴(諸如丁二烯、異戊二烯、戊二烯及己二烯等)與鹵 化烯烴(包括單氟乙烯、二氟乙烯、三氟乙烯、四氟乙 稀、單氣乙烯、二氣乙稀、三氣乙稀及四氯乙稀等)。 含氮碳氫化合物源中可包括的含氤碳氬化合物或其衍 生物可以化學式CxHyNz描述,其中χ具有j至12之間 的範圍,y具有2至20之間的範圍,而z具有1至1〇 之間的範圍。 適合的含氮碳氫化合物包括下述化合物之一者或多 者’其如.曱基胺、—曱基胺、S甲基胺(TMA)、三乙 基胺、笨胺、°比咬及苄腈(benzonitriie )。 類似地,如果需要,各種氣體尤其是諸如氫氣 氮氣(N2)、乳氣(NH3 )或前述者之組合可添加到氣體;昆 201139718 合物。氬、氦及氮氣用於控制非晶形碳層的沉積速率與 岔度。氫氣及/或氨氣的添加可用於控制非晶形碳層的氫 比率。 在某些實施例中,氮摻雜非晶形碳沉積製程包括使用 電漿起始氣體,該氣體在碳氫化合物之前及/或同時導入 腔室,且起始該電漿以開始沉積。電漿起始氣體可為高 度離子化勢能(i〇niZati〇npotential)的氣體,其包括但 :限於氦氣、氫氣、氮氣、氬氣與前述者之組合,其中 氦氣較佳。電漿起始氣體亦可為化學性質惰性的氣體, 諸如氦乳、氮氣、或氬氣為佳。適合用於氣體的離子化 勢能為從約5eV (電子位能)到25〜。電聚起始氣體 可在含I碳氫化合物源及/或碳氫化合物源之前導入腔 室,其使得穩定的電漿得以形成並且減少電弧作用的機 會。㈣為稀釋氣體或載氣的惰氣(諸如氬)可與Μ 起始乳體、含氮碳氫化合物源、碳氫化合物源或前述者 之組合一起導入。 —對於氮摻雜非晶形碳沉積而言,碳氫化合物與含氮碳 可以碳氮化合物對含氮碳氣化合物之氣體莫爾 ::::.10或超過1:1〇導入’例如從約1:1〇到1〇:1, 曰1:5到約3:1。在—個實施例中,對於氮摻雜非 箪爾積而s ’碳風化合物對含氮碳氫化合物之氣體 、爾〜率可從約1:2到約1:1。 :過維持約。.5 T〇rr或超過〇5〜的腔室壓力,氮 的非晶形碳層可從處理氣體沉積,該腔室壓力諸如 12 201139718 從約0_5T〇rr至約20τ〇ΓΓ,且在一個實施例中,約2丁抓 或超過2 Torr,例如從約2 T〇rr至約4 T〇rr。 氮摻雜非晶形碳層可在维持基材溫度從約〇。c至約 800 C的腔室中從碳氫化合物源與氮摻雜碳氫化合物源 /儿積,該基材溫度為諸如從約2〇〇。c到約65『c之溫 度,或位於從約30(TC至約55(rc之溫度,例如從約 c至約480 c。已觀察到,在提高的溫度下沉積氮摻雜 非晶形碳膜產生較緻密的膜,其相對應地改善膜的㈣ 選擇性。 亦已觀察到,沉積的碳摻雜非晶形碳層的敍刻選擇性 隨沉積該層時喷頭盘其知 百了貝峭兴丞材表面之間的電極間距改善,該 間距諸如為2〇〇 rrn.U 5 ·, 勹川mUs至500〇 mils之間的間距例如約 500 mil之間距。 在=些實施例中’當使用電漿時,碳氫化合物源、氮 摻雜妷氫化合物源及電漿起始氣體導進腔室並且起始電 錐而開始儿積。雙重頻率RF系統可用於生成電聚。咸信 又重頻率RF功率的應用能提供通量與離子密度的獨立 工制ϋ為咸^離子撞擊膜表面的能量會影響膜密度。 咸信高頻率電_制電漿密度’而低頻率錢控制離子 7基材表面㈣能。混合的叩功率之雙重頻率源提供 I圍從約1G ΜΗΖ至約3G ΜΗζ的高頻功率,例如為約 56 ΜΗΖ,並且提供範圍從約10 kHz至約1 ΜΗζ的低 =率,例如約350 kHz。當使用雙重頻率㈣統沉積 …雜非晶形碳膜時’第:RF功率對總混合頻率功率的 13 201139718 比較佳為低於約0 · 6對1 · 0 ( 0.6:1 )。施加RF功率與使用 一個或多個頻率可基於基材尺寸及使用的設備而變化。 在某些實施例中’可使用單一頻率RF功率的應用,且一 般’是此述的高頻功率的應用。 可透過施加一功率密度的RF功率至基材表面區域而 生成電漿,該功率密度(對基材表面積)為從約〇〇1 W/cm2至約5 W/cm2 ’諸如從約0.8到約2 3 w/cm2,例 如約2 W/cm2。對300 mm的基材而言,功率的施加可從 約1瓦至約2000瓦,諸如從約8〇〇瓦至約16〇〇瓦例 如約1400瓦。 用於處理300 mm圓形基材的示範性沉積製程利用諸 如氦及/或氬的電漿起始氣體、諸如乙炔(c2H2)的碳氫 化合物源、諸如三甲基胺(N(CH3)3)的含氮碳氫化合物 源該製程可包括:以從約0 seem至約5〇〇〇〇 seem (例 如"於約400 seem至約8000 sccm之間)的流率供應諸 如氦及/或氬的電漿起始氣體、以從約〇sccm至約5〇〇〇〇 seem (例如介於約4〇〇 sccm至約8〇〇〇 sccm之間)的流 率供應諸如乙炔(C^H2 )的碳氫化合物源、以及以從約 10 seem至約10000 sccm(例如介於約1〇〇 sccm至約⑽ seem之間)的流率供應諸如三甲基胺(n(cH3)3)的含 氮妷氫化合物源、施加從約丨〇瓦至約2〇〇〇瓦的雙重頻 率灯功率、維持從約0.5 Torr至約20 Torr的腔室壓力、 以^維持從約5CTC至約48(rc的基材溫度。此製程範 圍提供氮摻雜非晶形碳層在約1〇 A/min (埃/分鐘)至 14 201139718 約30000 A/min之範圍内的沉積速率。熟習此技藝者一 旦閱讀在此所揭露者,能夠計算適合的製程參數,以生 產不同沉積速率的氮摻雜非晶形碳膜。 山在沉積製程的一個實施例中,執行複數個個別非晶形 碳沉積以形成氮摻雜非晶形碳層。在多重沉積製程的一 個態樣中’諸如此述的沉積步驟之後是暫停步驟,其中 電漿起始氣體、稀釋氣體及/或前驅物可以減少或無沉積 速率流入。適合的電聚起始氣體、稀釋氣體及/或前驅物 可以從約0 sccm至約50000 3_的流率流進腔室。倘 若使用電漿起始氣體及/或稀釋氣體,則電漿可於暫停步 驟起始。/儿積與暫停步驟隨後可重覆,直到獲得期望厚 度為止,且可重覆1至⑽個循環,諸如從10至50個 循環,例如30個循環,或替代性地在約1%至約1〇〇%的 非形碳材料之厚度之間沉積,諸如約至約1 循 % ’例如約3.3%。個別的循環可沉積氮搀雜非晶形碳材 料約每循1哀1 A至約1〇00 A之厚度,以形成具有厚度 從約1 0 A至約15000 A的氮摻雜非晶形碳層◊循環的沉 積製程可使用一個或多個上文所述的製程參數調整。 或者,在沉積步驟前或在暫停步驟期間,亦能泵抽出 氣體並且再度流入。 氮摻雜非晶形碳膜的一項主要優點是較其他a_^H膜 雄度增加。不囿於理論,但咸信相較於使用不具有既存. 的%氤鍵的氮氣(N2)或氨氣(Nh3 )摻雜的氮摻雜非 晶形碳膜,使用已具有碳氮鍵的含氮碳氫化合物前驅物 15 201139718 更容易結合進入剛沉積的膜,這是由於既存的碳氮鍵存 在之故。進一步相信將氮結合進入剛沉積的膜減少了 H2 結合進入該膜的量,因此增加氮碳鍵結網絡,且將對應 地導致膜密度增加。 由此述的製程所沉積的非晶形碳材料之範例如下所 示: 比較性範例1 : 比較性非晶形碳沉積製程範例包括:提供約4〇〇 Sam 的氦流率至處理腔室,提供約14000 seem的氬流率至處 理腔室,提供約600 sccm的c^2流率至處理腔室,施 加約1400瓦的高頻(13 56 MHz) RF功率,維持在約 400 C的沉積溫度,維持腔室壓力於約3 5 ,具有約 3〇〇mils的間距,以生產具有姓刻選擇性約⑺的^晶形 碳層。 比較性範例2 : 比較性非晶形碳沉積製程範例包括:提供約_ sccm 的氣流率至處理腔室’提供約麵s_的氬流率至處 理腔室’以共約2400 Sccm@ C3H6流率至處理腔室,施 加約蘭瓦的高頻(13.56MHz)RFi力率,維持在約 550。。的沉積溫度’維持腔室壓力於約6 T〇rr,具有約 300 mils的間距,以生產呈古 座具有蝕刻選擇性約19的非晶形 碳層。 16 201139718 範例1 : 氣換雜非晶形碳沉積製程範例包括::提供約400 seem 的氮流率至處理腔室’提供約14〇〇〇 sccm的氬流率至處 理腔至,提供約600 seem的C2H2流率至處理腔室,以 及提供約200 sccm的三曱基胺至處理腔室,施加約14〇〇 瓦的咼頻(13.56 MHz) RF功率,維持在約400。(:的沉 積溫度’維持腔室壓力於約3.5 T〇rr,具有約3〇〇 mils 的間距’以生產具有蝕刻選擇性約24的氮摻雜非晶形碳 層0 範例2 : 氮播雜非晶形碳沉積製程範例包括::提供約400 seem 的氛流率至處理腔室’提供約l4〇〇〇sccm的氬流率至處 理腔至,提供約600 seem的C2H2流率至處理腔室,以 友提供約500 sccm的三甲基胺至處理腔室,施加約14〇〇 瓦的冋頻(13.56 MHz) RF功率,維持在約400 的沉 積恤度’維持腔室壓力於約3.5 Torr,具有約300 mils 的間距,以生產具有蝕刻選擇性約25的氤摻雜非晶形碳 層0 範例3 : 氣推雜非晶形碳沉積製程範例包括··:提供約400 seem 的氦流率至處理腔室,提供約14000 seem的氬流率至處 理腔至,提供約6〇〇 sccm的CzH2流率至處理腔室,以 17 201139718 甲基胺至處理腔室’施加約丨4〇〇 RF功率,維持在約4〇〇。c的沉 及提供約1000 seem的三 瓦的高頻(13.56 MHz) 積溫度,维持腔室㈣於約3.5 T〇rr,具有約谓mils 的間距α生產具有兹刻選擇性約22的氛播雜非晶形碳 層。 較性範例1、2及範例!、2、3的總括氧化物蝕刻選 擇性結果繪於第2圖。第2圖是一圖表200,其描繪相 ㈣的非晶形碳層的氮摻雜非晶形碳層隨氣摻 質程度變化的總括氧化物蝕刻選擇性。χ &標為樣本 號’而Υ軸標為蝕刻選擇性。繪於第2圖的結果宣稱變 化氮摻雜非晶形碳層的氮摻雜會調變剛沉積的膜的蝕刻 選擇性,其較比較性範例卜2呈現約3〇%的最大改善。 $IL例3宣稱在南流率下,三甲基胺開始蝕刻剛沉積的膜。 第3圖是一圖表300,其描繪相對氮摻雜碳氫化合物 流率(seem )的膜密度(gm/cm3 )(>χ轴標為三甲基胺摻 雜流率(seem)’而Υ軸標為膜密度(gm/cc)。圖表3〇〇 顯不當二甲基胺的流率増加,三甲基胺摻雜的非晶形碳 的密度亦增加。瀏覽第2圖與第3圖,可知蝕刻選擇性 並非必要地隨密度線性増加,因此表示化學鍵結亦貢獻 钱刻選擇性。 第4圖是一圖表400,其描繪相對沉積溫度(γ )的 膜密度(gm/cm3)〇X軸標為沉積溫度(。〇,而丫軸標 為膜密度Cgm/cc)。圖表4〇〇宣稱膜密度可透過進一步 18 201139718 增加沉積溫度而增加 τ人 ι—干〇 |y*j i n —---—--. 比較性範例1 列2之膜性質。 比較性範例2 範例2 (500 seem TMA) 沉積溫度(°c) 400 ' 550 400 密度(g/cm3) 1.58 1.55 1.72 應力(MPa) -390 ---- 70 -660 n633 2.10 ----- 1.86 2.01 k633 0.30 ----- 0.38 0.41 沉積速率 (A/min) 3,650 --------- ~~--—-- 2,000 1,080 表 在一個實施例中,剛沉锫 % & μ + 】,儿積的氮摻雜非晶形碳層具有可 ^ ^ 其範圍從約〇·〇ι°/。的氮至約10.0% 的氮。在一個實施例中, 右可烟款1 '儿積的氮推雜非晶形碳層具 ^ /、範圍從約的氮至約4.0¼ 的氮。一個實施例中, 可詷積的氮摻雜非晶形碳層具有 J凋整的奴.氮比率,苴 i 0 «Ρ ^ , '、圍從約i·5%的氮至約2%的 j. . _ ^ 的氮比率以調整膜蝕刻選擇性。 其他沉積腔室皆於本發 乾可内,而上文所列的參 19 201139718 數可根據用於形成非sa , 日日乂反層的特殊沉積腔室而變化。 ^ ’其他沉積腔室可具有較大或較小的體積,需要比 ^敛之購自應用材料公司的沉積腔室大或小的氣Μ 氮摻雜非晶形碳硬遮罩
第5Α圖至帛5L圖是一製程的概略側視圖,該製程用 “吏用此述的氮摻雜非晶形碳層以蝕刻材料層。基底材 料川沉積在基材表Φ (圖中未示)上,以開始形成材 料堆疊5GG。該基底材料可為用在形成半導體元件中的 -種或多種材料,包括矽基材材料、氧化物材料或多晶 石夕材料等。第-氮摻雜非晶形碳層52()沉積在基底^ 510上,而第一抗反射塗層材料53〇沉積在第一氮摻雜 非晶形碳層520上,如第5B圖所示。該第一抗反射塗層 材料530用於控制光微影圖案化製程期間的光反射。第 一抗反射塗層材料53〇可包含二氧化矽、氮氧化矽、氮 化矽、或則述者之組合。抗反射塗層材料可為DARCTM 材料層,商業上可由美國加州Santa Clara的應用材料公 司購得》 第二氮摻雜非晶形碳層540與第二抗反射塗層材料 550可依序沉積在第一抗反射塗層材料上,如第5c圖所 示。第一氮摻雜非晶形碳層540與第二抗反射塗層材料 550可為與層52〇及第一抗反射塗層材料53〇所沉積的 相同的材料。諸如光阻材料的阻撐層(resist layer) 560 20 201139718 ^後'儿積在第二抗反射塗層材料5 5 0上,如第5 D圖所 7阻k層隨後透過光微影製程圖案化,產生圖案化阻 擋層561如第5E圖所示。形成在阻擋層jo中的第一 圖案562轉移到第二氮摻雜非晶形碳層而形成圖案 化第-氮摻雜非晶形碳| 541,其透過藉一個或多個姓 j 1程而首先蝕刻第二抗反射塗層材料且隨後蝕刻 第一氮摻雜非晶形碳層54〇而達成,如第5F圖所示。圖 案化第一氮摻雜非晶形碳層54 1可表現為一用於下伏材 料的硬遮罩。第二抗反射塗層材料55G可透過-個或多 個钱刻氣程或透過分開的製程而移除。 第三氮摻雜非晶形碳層57〇沉積在第一抗反射塗層材 ;斗3 0與圖案化第二非晶形碳層5 4丄上,如第5 g圖所 不。第二氮摻雜非晶形碳層可透過此述的任一製程沉 積。第三氮摻雜非晶形碳層57〇由各異向性蝕刻 (anis〇tropic etch)製程圖案化,提供側壁氮摻雜非晶形碳 材料57卜如第5H圖所示。相較於另外能正常透過當前 光微影製程所達成者,侧壁氮摻雜非晶形碳材料571的 存在容許具有減少的臨界尺度與特徵結構尺寸(即圖案 ^度增加)的第二圖案572形成。圖案化第二氣摻雜非 晶形碳層541結合侧壁氮摻雜非晶形碳材料571可表現 為用於下伏的第一抗反射塗層材料53〇與第—氮摻雜非 晶形碳層520的硬遮罩。 第一抗反射塗層材料530隨後受到蝕刻,以形成具有 第二圖案572的圖案化抗反射塗層531,如第5i圖所示。 21 201139718 圖案化第二氮摻雜非晶形碳層541與側壁氮摻雜非晶形 材料5 7 1在钱刻製程期間移除或由後續製程移除。第 一氮摻雜非晶形碳層52()隨後受蝕刻以形成圖案化第一 氮摻雜非晶形碳層521,其具有第二圖案572,以轉移到 下伏的基底材才斗510。隨後使用圖案化第一氮摻雜非晶 形石反層521做為硬遮罩層而钱刻基底材料51〇 (如第π 圖所示)’且將圖案化第—氮摻雜非晶形碳層52ι移除, 以提供具有第二圖t 572之圖案化基底材料5ιι的基材 表面,如第5L圖所示。 在替代性實施例中,於第5F圖至帛5L圖,圖案化阻 擋材料用於取代圖案化第二氮摻雜非晶形碳層541,因 而消除圖案化第二氮掺雜非晶形碳層540及第二抗反射 塗層材料550與第5C圖至第5E圖中相對應的沉積步驟 與姓刻步驟的需要。在某些實施例中,I摻雜非晶形破 層之任-者可用非晶形碳層取代,諸如商業上可賭自美 國加州Santa Clara應用材料公司的Ad職edpattemingFilmT、M 材料。 第6A圖至第6H圖是一製程的概略側視圖,該製程用 於使用此述的氮摻雜非晶形碳層於空間遮罩(space mask 雙重圖案化製程中。基底材料61G沉積在基材表面上以 開始形成材料堆疊6〇〇。該基底材料可為用在形成半導 體元件中的-種或多種材料,包括石夕基材材料、氧化物 材料或夕B曰矽材料等。第—氮摻雜非晶形碳層㈣沉積 在土 &材料610上,而具有第一圖案632的圖案化阻擋 22 201139718 層630形成在第一氮摻雜非晶形碳層62〇上,如第6A 圖所示。非晶形碳層可為商業上可購自美國加州Santa Clara應用材料公司的Advanced patteming HlmTM以户巧材料, 或替代性地為此述的氮摻雜非晶形碳材料^阻擋層63〇 可以光微影製程圖案化。圖案化阻擋層63〇隨後經受修 整製程,因而窄化圖案化阻擋材料的寬度以形成由修整 的阻擋材肖631所界定的第二圖案633,如第6b圖所 示。第一氮摻雜非晶形碳層620隨後受到蝕刻,以將第 二圖案633轉移,而形成圖案化氮摻雜非晶形碳層621, 如第6C圖所示。 側壁間隔件640隨後形成於鄰接圖案化氣換雜非晶形 碳層621結構處1隔件可包含可㈣材料,其钱刻速 率與第一非晶形碳層或共形非晶形碳材料不同。適合的 材料包括例如二氧化石夕、氮氧化石夕、氮化石夕、或前:者 之組合。氣掺雜非晶形碳材料的間隙填充層65〇隨後沉 積在侧壁間隔件640與圖案化氮摻雜非晶形碳層621結 構上,如第6E圖所示。氮摻雜非晶形碳材料可由此述的 任何製程沉積。間隙填充層㈣隨後往㈣刻以暴露侧 壁間隔件64〇,如第6F圖所示。隨後蝕刻側壁間隔件640 以暴露基底材料610,界定硬遮罩層651,如第⑽圖所 ::基底材料610可隨後受圖案化钱刻,而形成圖案化 基底材料611,如第6H圖所示。 在替代性製程中,側#間 氮摻雜非晶形碳層621 *構(形成於鄰接圖案化 層621結構(如第6D圖所示)之後,圖 23 201139718 案化氮摻雜非晶形碳層621隨後從基材表面脫除。側壁 隔件640 後形成如第6E’圖所示之圖案,其可做為 土底材料6 1 0所用的硬遮罩。基底材料6丨〇隨後可受圖 案化蝕刻,而形成圖案化基底材料6丨}。 月〗述者疋導向本發明的實施例’在不背離本發明基本 ㈣的情況下,可設計其他與進—步的本發明實施例, 而其範疇由隨後的申請專利範圍所確定。 【圖式簡單說明】 參考某些繪製在附圖的實施例,可得到前文簡要總結 的本發明之更特別描述’如此,可詳細瞭解之前陳述的 本發明的特色。然'而應注意,附圖只繪示本發明的典型 實施例’因本發明允許其他同等有效的實施例,故不將 該等圖式視為其範圍之限制。 第1圖是根據此述之實施例的基材處理系統之概略示 意圓該基材處理系統能用於執行氮摻雜非晶形碳層沉 積; 第2圖疋一圖表,其描繪相較於先前已知的非晶形碳 層的氮摻雜非晶形碳層隨氮摻質程度變化的總括氧化物 蝕刻選擇性; 第圖是圖表,其描繪相對氮摻雜碳氫化合物之流 率(seem)的膜密度(gm/cc); 第4圖疋圖表’其描料目對沉積溫度(。c)的膜密 24 201139718 度(gm/cc ); 第5 A圖至第5L圖是一製程的一個實施例的概略側視 圖,該製程用於使用此述的氮摻雜非晶形碳層以蝕刻材 料層;以及 第6A圖至第6H圖及第6E,圖是一製程的一個實施例 的概略側視圖,該製程用於使用此述的氮摻雜非晶形碳 層於空間遮罩雙重圖案化製程中。 為助於瞭解,如可能,則使用相同元件符號指定共通 於各圖式的相同元件。應考量到一個實施例的元件與特 徵可有利地結合其他實施例而無須進一步記敘。 【主要元件符號說明】 100系統 102真空泵 106電源供應器 110控制單元 112中央處理單元(cpu) 114支援電路 116相關的控制軟體 120喷頭 125製程腔室 130氣體板 150基材支撐基座 25 201139718 160桿件 170加熱元件 172溫度感測器 190半導體基材 192電漿 195表面 200-400 圖表 500材料堆疊 5 1 0基底材料 5 11圖案化基底材料 520第一氮摻雜非晶形碳層 52 1圖案化第一氮摻雜非晶形碳層 530第一抗反射塗層材料 531圖案化抗反射塗層 540第二氮摻雜非晶形碳層 54 1圖案化第二氮摻雜非晶形碳層 550第二抗反射塗層材料 5 60阻擋層 5 61阻擋層 562.第一圖案 570第三氮摻雜非晶形碳層 571側壁氮摻雜非晶形碳材料 572第二圖案 600材料堆疊 26 201139718 6 1 0基底材料 6 11圖案化基底材料 620第一氮摻雜非晶形碳層 62 1圖案化氮摻雜非晶形碳層 630圖案化阻擋層 631修整的阻擋材料 632第一圖案 633第二圖案 640側壁間隔件 650間隙填充層 651硬遮罩層 27

Claims (1)

  1. 201139718 七、申請專利範圍: 1. 一種形成一氮摻雜非晶形碳層於一基材上的方法,其 包含以下步驟: 將一含氮碳氫化合物(nitr〇gen c〇ntaining hydr〇carbon)源氣體導入含有一基材的一處理腔室; 將一奴氫化合物源氣體導入該基材處理腔室; 將一電漿起始氣體導入該基材處理腔室; 在該基材處理腔室中生成一電漿;以及 形成一氣摻雜非晶形碳層於該基材上。 2. 如睛求項第1項所述之方法,其中該含氮碳氯化合物 源氣體是以化學式CxIiyNz描述’其中χ具有ι至12 之間的範圍,y具有2至2〇之間的範圍,而ζ具有i 至1 0之間的範圍。 3. 如:求項第!項所述之方法,其中該含氮碳氫化合物 源氣體是選自一群組,該群組包含: 甲基胺、二曱基胺、三甲基胺(TMA )、三乙基 胺苯胺、吡啶、苄腈(benzonitrile )、及前述者 之組合。 4. 如,求項第2項所述之方法其中該碳氮化合物源氣 體疋以化學式CxHy描述,其中χ具有1至1〇之間的 28 201139718 範圍,π 而y具有2至30之間的範圍。 •叫木項第3項所述之方法,其中該碳氫化合物源氣 體選自一群組,該群組包含: 己块(c2h2)、丙烯(c3h6)、丙炔(C3h4)、丙 炫·( C3H8)、丁燒(C4H1())、丁烯(C4H8)、丁二烯 4只6 )、乙稀乙炔、苯基乙炔、及前述者之組合。 6·如研求項第5項所述之方法,其中該碳氫化合物源氣 體的莫爾流率與該含氮碳氫化合物源氣體的莫爾流 率之比是介於約I:5至約3:1之間。 7.如凊求項第丨項所述之方法,其中經沉積的該氮摻雜 非b曰形碳層具有一可調整的碳:氮比率,其範圍是從 約0.1°/。的氮至約4.0%的氣。 8·如凊求項第1項所述之方法,其中該基材處理腔室中 的壓力在形成一敗摻雜非晶形碳層於該基材上的製 程期間是約0.5 Torr至20 Torr。 9.如凊求項第8項所述之方法,其進一步包含以下步驟: 在形成一I摻雜非晶形碳層於該基材上的製程 期間將該基材加熱到介於約丨〇〇。c至約65〇»c之間 的溫度。 29 201139718 10. 如請求項第9項所述之方法,其中將該氮摻雜非晶形 碳層形成至具有約1.5g/cm3至約2.2g/cm3的密度。 11. 一種形成·一元件的方法’其包含以下步驟: 形成一個或多個氮摻雜非晶形碳層於一基材 上,其是透過以下步驟完成: 提供一氣體混合物到含有一基材的一基 材處理腔室’其中該氣體混合物包含一含氣 碳氫化合物源氣體、一個或多個碳氫化合 物、以及一惰氣;以及 在該基材處理腔室中生成一電漿,以分解 該氣體混合物中的該一個或多個碳氫化合物 以及該含氮碳氫化合物源,而形成該一個或 多個氮摻雜非晶形碳層於該基材上; 界定一圖案於該一個或多個氮摻雜非晶形碳層 的至少一個區域中;以及 使用該一個或多個氮摻雜非晶形碳層做為一遮 罩’而將界定在該一個或多個氮摻雜非晶形碳層的 該至少一個區域中的該圖案轉移進入該基材。 12. 如請求項第u項所述之方法,其中該氮摻雜碳氫化 合物源是以化學式CxHyNz描述,其中X具有1至12 之間的範圍’ y具有2至20之間的範圍,而Z具有1 30 201139718 至1 〇之間的範圍。 . 13.如請求項第12項所述之方法,其中該氮摻雜碳氫化 合物源是選自一群組’該群組包含: 甲基胺、二甲基胺 '三曱基胺(TM A )、三乙基 私:本胺、"比咬、午腈(benzonitrile )、及前述者 之級合。 14·如明求項第11項所述之方法,其中該氣體混合物中 的省個或多個碳氫化合物是以通式CxHy描述,其 中X具t 2至4之間的範圍’而y具有2至 ίΛ 愁 m ,aJ 1 5 .如請求項& 項第14項所述之方法,其中該 STT yil* 人 t . 氫化入 . π,長丫故一個或多個碳 D選自由丙稀(C3H6)、丙炔(C3H4)、丙院 乙快二丁一 )、丁稀咖^ 2 2 )、及刖述者之組合所構成之群組。 16.如請求項 巧第15項所述之士 碳層I右 方法’其中該氮摻雜非晶形 、戈一碳:氫比率,苴炉 50%的氣。 、範圍是從約5%的氫至約 方法,其中該惰氣是選 所構成之群組。 17.如請求項第 氦、氣、及 11項所述之 前述者之組合 由 31 201139718 18·如請求項第!1項所述之方法 合物源氣體是三甲基胺,該—個;多:=碳氫化 乙炔,^ 〇 次夕個杈虱化合物是 而该“是包含氦與氬的—混合物。 A::求項第18項所述之方法’其中該基材被加熱到 I於1〇〇°C至650-C之間的溫度。 2〇.=請求㈣19項所述之方法,其中將該基材處理腔 隹持在介於0.5 Torr至2〇 T〇rr之間的壓力。 32
TW100108782A 2010-03-30 2011-03-15 Nitrogen doped amorphous carbon hardmask TW201139718A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/750,378 US20110244142A1 (en) 2010-03-30 2010-03-30 Nitrogen doped amorphous carbon hardmask

Publications (1)

Publication Number Publication Date
TW201139718A true TW201139718A (en) 2011-11-16

Family

ID=44709985

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100108782A TW201139718A (en) 2010-03-30 2011-03-15 Nitrogen doped amorphous carbon hardmask

Country Status (6)

Country Link
US (2) US20110244142A1 (zh)
JP (1) JP2013524508A (zh)
KR (1) KR20130062924A (zh)
CN (1) CN102971837A (zh)
TW (1) TW201139718A (zh)
WO (1) WO2011126612A2 (zh)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
WO2013061398A1 (ja) * 2011-10-24 2013-05-02 株式会社ユーテック CxNyHz膜、成膜方法、磁気記録媒体およびその製造方法
RU2485047C1 (ru) * 2011-11-03 2013-06-20 Федеральное государственное бюджетное научное учреждение "Технологический институт сверхтвердых и новых углеродных материалов" (ФГБНУ ТИСНУМ) Способ получения углерод-азотного материала
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
JP5924094B2 (ja) * 2012-04-18 2016-05-25 新明和工業株式会社 刃物、その製造方法およびそれを製造するためのプラズマ装置
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
CN102923688B (zh) * 2012-11-01 2015-02-04 中国科学院长春应用化学研究所 一种氮掺杂碳材料的制备方法及其应用
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
WO2014149281A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films
JP2014187231A (ja) * 2013-03-25 2014-10-02 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
KR102091500B1 (ko) * 2013-06-21 2020-03-20 엘지디스플레이 주식회사 박막 트랜지스터 기판 및 그 제조방법
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9865459B2 (en) * 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US9659771B2 (en) * 2015-06-11 2017-05-23 Applied Materials, Inc. Conformal strippable carbon film for line-edge-roughness reduction for advanced patterning
US10418243B2 (en) * 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
CN105714250A (zh) * 2016-02-19 2016-06-29 西安理工大学 一种n掺杂非晶碳膜阻变存储器的制备方法
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10410872B2 (en) 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10593543B2 (en) 2017-06-05 2020-03-17 Applied Materials, Inc. Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
WO2019022826A1 (en) 2017-07-24 2019-01-31 Applied Materials, Inc. PRETREATMENT APPARATUS FOR IMPROVING THE CONTINUITY OF ULTRA-THIN AMORPHOUS SILICON FILM ON SILICON OXIDE
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6926939B2 (ja) * 2017-10-23 2021-08-25 東京エレクトロン株式会社 半導体装置の製造方法
US10096475B1 (en) * 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
CN114072898A (zh) 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
KR20220002748A (ko) 2019-05-29 2022-01-06 램 리써치 코포레이션 고 전력 펄싱된 저 주파수 rf에 의한 고 선택도, 저 응력, 및 저 수소 다이아몬드-유사 탄소 하드 마스크들
CN112563121B (zh) * 2019-09-26 2023-07-07 长鑫存储技术有限公司 图形转移方法
US11476154B2 (en) 2019-09-26 2022-10-18 Raytheon Company Field effect transistor having improved gate structures
US11322352B2 (en) * 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
CN113818002A (zh) * 2020-06-19 2021-12-21 拓荆科技股份有限公司 一种薄膜制备方法
KR20230027297A (ko) * 2020-06-29 2023-02-27 어플라이드 머티어리얼스, 인코포레이티드 하드마스크들 및 다른 패터닝 애플리케이션들을 위한 고밀도 질소-도핑된 탄소 막들을 생산하기 위한 방법들
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects
CN113078043A (zh) * 2021-03-24 2021-07-06 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0264104B1 (en) * 1986-10-14 1995-12-27 Minolta Co., Ltd. Electrophotographic photosensitive member having an overcoat layer
US7064088B2 (en) * 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
CN1930320A (zh) * 2004-03-05 2007-03-14 应用材料公司 用于无定型碳膜的化学气相沉积的液体前驱体
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080260968A1 (en) * 2007-04-23 2008-10-23 Atto Co., Ltd. Method of forming amorphous carbon layer using cross type hydrocarbon compound and method of forming low-k dielectric layer using the same

Also Published As

Publication number Publication date
CN102971837A (zh) 2013-03-13
US20160086794A9 (en) 2016-03-24
KR20130062924A (ko) 2013-06-13
JP2013524508A (ja) 2013-06-17
WO2011126612A3 (en) 2011-12-22
US20140370711A1 (en) 2014-12-18
WO2011126612A2 (en) 2011-10-13
US20110244142A1 (en) 2011-10-06

Similar Documents

Publication Publication Date Title
TW201139718A (en) Nitrogen doped amorphous carbon hardmask
US8105465B2 (en) Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
JP7301931B2 (ja) ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
TWI743035B (zh) 改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理
CN108140545B (zh) 超高模量与蚀刻选择性的硼-碳硬掩模膜
TWI554634B (zh) 超高選擇性之可灰化硬遮罩膜
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
US8349741B2 (en) Amorphous carbon deposition method for improved stack defectivity
KR20130118880A (ko) 초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합
KR20100135243A (ko) 개선된 밀도와 도포율을 갖는 비정질 탄소의 증착 방법
TW202200825A (zh) 用於產生用於硬遮罩及其他圖案化應用的高密度摻雜碳膜的方法