CN102971837A - 氮掺杂的非晶碳硬掩模 - Google Patents

氮掺杂的非晶碳硬掩模 Download PDF

Info

Publication number
CN102971837A
CN102971837A CN2011800162123A CN201180016212A CN102971837A CN 102971837 A CN102971837 A CN 102971837A CN 2011800162123 A CN2011800162123 A CN 2011800162123A CN 201180016212 A CN201180016212 A CN 201180016212A CN 102971837 A CN102971837 A CN 102971837A
Authority
CN
China
Prior art keywords
amorphous carbon
nitrogen
gas
doped amorphous
nitrogen doped
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800162123A
Other languages
English (en)
Inventor
S·F·郑
J·扬岑
D·帕德希
金秉宪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102971837A publication Critical patent/CN102971837A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02592Microstructure amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明的实施例大体上关于集成电路的制造,特别是关于氮掺杂的非晶形碳层与用于在半导体衬底上沉积氮掺杂的非晶形碳层的工艺。在一个实施例中,提供在衬底上形成氮掺杂非晶形碳层的方法。所述方法包含以下步骤:将衬底定位在衬底处理腔室中;将含氮碳氢化合物源导入所述处理腔室;将碳氢化合物源导入所述处理腔室;将等离子体引发气体导入所述处理腔室;在所述处理腔室中生成等离子体;以及在所述衬底上形成氮掺杂非晶形碳层。

Description

氮掺杂的非晶碳硬掩模
发明背景
技术领域
本发明的实施例大体上关于集成电路的制造,特别是关于氮掺杂的非晶形碳层与用于在半导体衬底上沉积氮掺杂的非晶形碳层的工艺。
先前技术描述
集成电路已进展至可在单一芯片上包括数百万个晶体管、电容器与电阻器的复杂器件。芯片设计的进展持续需要更快速的电路与更大的电路密度。更快速电路及更大电路密度的要求对用于制造此类集成电路的材料施加相对应的需求。特别地,当集成电路部件的尺度减少到亚微米尺度时,已必须使用不仅是低电阻率的导电材料(诸如铜)以改善器件的电气性能,还需使用低介电常数绝缘材料(通常是指低k介电材料)。低k介电材料大体上具有低于3.8的介电常数。
生产具有低k介电材料且具有极少或无表面缺陷或特征结构变形的器件是有问题的。介电常数低于约3.0的低k介电材料通常多孔且在后续工艺步骤期间易受刮擦或损坏,因而增加形成在衬底表面上的缺陷的可能性。此类低k介电材料通常易脆,且可能在常规研磨工艺(诸如化学机械研磨(CMP))下变形。一项限制或减少此类低k介电材料的表面缺陷及变形的解决方案是在图案化与蚀刻之前在暴露的低k介电材料上沉积硬掩模。硬掩模防止精细的低k介电材料损坏及变形。此外,硬掩模层可充当结合常规光刻技术的蚀刻掩模,以防止蚀刻期间低k介电材料被移除。
此外,对于前端和后端工艺而言,硬掩模在集成电路制造工艺中的几乎每一步骤中使用。当器件尺寸缩小而图案结构变得更复杂且难以制造时,蚀刻硬掩模变得更加重要,因为目前可用的光阻无法符合蚀刻抗性的需求,且光阻仅用于图像转移,而非作为光刻与蚀刻工艺中的蚀刻掩模。相反地,接收图像图案的硬掩模变成用于有效蚀刻下层中的图案的主要材料。
非晶形的氢化碳可用作为尤其是金属、非晶硅及介电材料(诸如二氧化硅或氮化硅材料)的硬掩模。非晶形的氢化碳(亦称为非晶形碳且可注记为a-C:H或α-C:H)被视为具有无长范围晶序的碳材料,且所述非晶形的氢化碳可含有大量的氢含量,例如约10至45原子百分比量级的氢。已观察到非晶形碳具有化学惰性、光学穿透性、以及优良的机械性质。
当器件图案的特征结构尺寸变得更小,临界尺度(CD)需求变成对于稳定与可重复的器件性能而言更重要的规范。为了达成CD需求,需要使用更具蚀刻抗性及/或更厚的掩模材料,或者改善蚀刻工艺的蚀刻选择性。前一选项增加了生产步骤的数目,造成每晶圆更高的成本以及复杂的整合问题。
因此,需要提供增加蚀刻选择性的材料与方法,使得能够符合特征结构的CD需求。
发明内容
本发明的实施例大体上关于集成电路的制造,特别是关于氮掺杂的非晶形碳层与用于在半导体衬底上沉积氮掺杂的非晶形碳层的工艺。在一个实施例中,提供一种在衬底上形成氮掺杂非晶形碳层的方法。所述方法包括以下步骤:将衬底定位在衬底处理腔室中;将含氮碳氢化合物源导入所述处理腔室;将碳氢化合物源导入所述处理腔室;将等离子体引发气体导入所述处理腔室;在所述处理腔室中生成等离子体;以及在所述衬底上形成氮掺杂非晶形碳层。
在另一实施例中,提供一种形成器件的方法。所述方法包括以下步骤:在衬底上形成一个或多个氮掺杂非晶形碳层;在所述一个或多个氮掺杂非晶形碳层的至少一个区域中界定一图案;以及使用一个或多个氮掺杂非晶形碳层做为掩模将界定在所述一个或多个氮掺杂非晶形碳层的所述至少一个区域中的所述图案转移到所述衬底中;其中在衬底上形成一个或多个氮掺杂非晶形碳层的步骤是通过以下步骤完成:将衬底定位于沉积腔室中;提供气体混合物到所述沉积腔室,其中所述气体混合物包含含氮碳氢化合物源、一种或多种碳氢化合物、以及惰性气体;以及在所述处理腔室中生成等离子体,以分解所述气体混合物中的所述一种或多种碳氢化合物以及所述含氮碳氢化合物源,而在所述衬底上形成所述一个或多个氮掺杂非晶形碳层。
附图简述
参考某些在附图中图解说明的实施例,可得到前文概述的本发明的更特别描述,如此可详细了解之前陈述的本发明的特色。然而应注意,附图只绘示本发明的典型实施例,因为本发明允许其他同等有效的实施例,因此不将所述附图视为对本发明范围的限制。
图1是根据本文所述实施例的衬底处理系统的示意图,所述衬底处理系统能用于执行氮掺杂的非晶形碳层沉积;
图2是描绘与先前已知的非晶形碳层相比较的具有不同氮掺杂剂浓度的氮掺杂非晶形碳层的全面氧化物蚀刻选择性的图表;
图3是描绘相对于氮掺杂碳氢化合物的流率(sccm)的膜密度(gm/cc)的图表;
图4是描绘相对于沉积温度(°C)的膜密度(gm/cc)的图表;
图5A至图5L是用于使用本文所述的氮掺杂非晶形碳层来蚀刻材料层的工艺的一个实施例的侧视示意图;以及
图6A至图6H图及图6E’是用于在空间掩模双重图案化工艺中使用将本文所述的氮掺杂非晶形碳层的工艺的一个实施例的侧视示意图。
为便于理解,在可能的情况下,使用相同的附图标号来指示各图共有的相同要素。可构想到一个实施例的要素与特征可有利地并入其他实施例中而无需进一步陈述。
具体实施方式
本发明的实施例大体上关于集成电路的制造,特别是关于氮掺杂非晶形碳层与用于在半导体衬底上沉积氮掺杂非晶形碳层的工艺。在本文所述的某些实施例中,与当前最先进的非晶形碳膜相比,含氮非晶形碳膜呈现对氧化物的显著改善的全面蚀刻选择性(ES)。此较高的蚀刻选择性容许3x节点以及更低节点所期望的较薄的硬掩模厚度。
图1是根据本文所述的实施例的衬底处理系统(系统100)的示意图,所述系统能用于根据本文所述实施例的非晶形碳层沉积。适合的系统的范例包括可使用DxZTM处理腔室的
Figure BDA00002195109300041
系统、PRECISION
Figure BDA00002195109300042
系统、PRODUCERTM系统,诸如PRODUCER SETM处理腔室与PRODUCERGTTM处理腔室,上述系统皆可购自美国加州Santa Clara的应用材料公司。
系统100包括工艺腔室125、气体板130、控制单元110与其他诸如电源及真空泵之类的硬件部件。用在本文所述实施例中的系统的一个实施例的进一步细节描述于2002年4月2日颁证的共同转让的发明名称为“HighTemperature Chemical Vapor Deposition Chamber(高温化学气相沉积室)”的美国专利6,364,954。
工艺腔室125大体上包括衬底支撑基座150,该衬底支撑基座150用于支撑诸如半导体衬底190之类的衬底。此衬底支撑基座150在工艺腔室125内侧使用耦合至轴160的位移机构(图中未示)在垂直方向上移动。视所述工艺而定,半导体衬底190可在处理前被加热到期望的温度。衬底支撑基座150通过嵌入的加热器元件170加热。例如,衬底支撑基座150可通过从电源106施加电流至加热器元件170而被加热。半导体衬底190进而由衬底支撑基座150加热。温度感测器172(诸如热偶)亦嵌于衬底支撑基座150中,以监视衬底支撑基座150的温度。所测量的温度在反馈环路中使用,以控制加热器元件170的电源106。衬底温度可被维持或控制在针对特定工艺应用选择的温度。
真空泵102用于抽空工艺腔室125且维持工艺腔室125内适当的气体流动与压力。喷头120位在衬底支撑基座150上方,且适于使进入工艺腔室125的工艺气体均匀分布,工艺气体通过所述喷头120导入处理腔室125中。喷头120连接至气体板130,所述气体板130控制与供应各种用在工艺序列的不同步骤中的工艺气体。工艺气体可包括含氮的碳氢化合物源、碳氢化合物源、以及等离子体引发气体,在下文中将结合示范性氮掺杂非晶形碳层沉积工艺更详细地描述所述工艺气体。
气体板130亦可用于控制及供应各种气化的液体前驱物。虽然图中未示,但来自液体前驱物供应器的液体前驱物可通过例如液体注射气化器而气化,并且在载气的存在下被传递到工艺腔室125。载气一般是惰性气体(诸如氮)或稀有气体(诸如氩或氦)。或者,可由安瓿通过热和/或真空强化气化过程来气化液体前驱物。
喷头120与衬底支撑基座150亦可形成一对间隔的电极。当电场在这些电极之间生成时,导入腔室125的工艺气体被点燃成等离子体192。典型地,电场是藉由将衬底支撑基座150通过匹配网路(图中未示)连接至单一频率或双重频率射频(RF)功率源(图中未示)而生成。或者,RF功率源与匹配网路可耦合至喷头120,或耦合至喷头120与衬底支撑基座150二者。
通过将电场施加至接近衬底表面的反应区,PECVD技术促使反应气体的激发及/或解离,而创造反应性物料的等离子体。等离子体中物料的反应性减少化学反应发生所需求的能量,从而有效降低此类PECVD工艺所需的温度。
适当控制与调节通过气体板130的气体与液体流动是由质流控制器(图中未示)与诸如电脑之类的控制单元110所执行。喷头120容许来自气体板130的工艺气体均匀分布并且被导入工艺腔室125。在说明上,控制单元110包括中央处理单元(CPU)112、支持电路114与含有相关联的控制软件116的存储器。此控制单元110负责衬底处理所需的数个步骤的自动控制,诸如衬底输送、气体流动控制、液体流动控制、温度控制及腔室抽空等。当工艺气体混合物离开喷头120时,碳氢化合物的等离子体强化热分解发生在半导体衬底190的表面195处,造成氮掺杂的非晶形碳层沉积在半导体衬底190上。
氮掺杂非晶形碳层的形成
在本文所述的一个实施例中,氮掺杂非晶形碳层是通过包括将碳氢化合物源、含氮碳氢化合物源、及等离子体引发气体导入工艺腔室(诸如上文结合图1所述的处理腔室125)的工艺所形成。在一个实施例中,碳氢化合物源是一种或多种碳氢化合物及视情况任选的载气(诸如氩)的混合物。在某些实施例中,不需要碳氢化合物源,而氮掺杂非晶形碳层是通过将含氮碳氢化合物源与等离子体引发气体导入而形成。
碳氢化合物可为部份或完全掺杂的碳氢化合物的衍生物,包括碳氢化合物的含氟、含氧、含羟基及含硼衍生物。
在碳氢化合物源中可包括的碳氢化合物及碳氢化合物的衍生物可通过化学式CxHy描述,其中x具有1至10之间的范围,而y具有2至30之间的范围。
适合的碳氢化合物包括下列化合物中的一种或多种,例如:炔烃,诸如乙炔(C2H2)、丙烯(C3H6)、丙炔(C3H4)、丙烷(C3H8)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)、乙烯乙炔、苯基乙炔、以及上述化合物的衍生物;芳香族碳氢化合物,诸如苯、苯乙烯、甲苯、二甲苯、乙苯、苯乙酮、苯甲酸甲酯、醋酸苯酯、酚、甲酚及呋喃等;α-萜品烯;异丙基甲苯;1,1,3,3-四甲基丁基苯(1,1,3,3,-tetramethylbutylbenzene);叔丁醚(t-butylether);叔丁基乙烯(t-butylethylene);甲基丙烯酸甲酯(methylmethacrylate);叔丁基呋喃醚(t-butylfurfurylether);具有化学式C3H2及C5H4的化合物;卤化芳香族化合物,包括氟苯(monofluorobenzene)、二氟苯(difluorobenzene)、四氟苯(tetrafluorobenzene)及六氟苯(hexafluorobenzene)等。额外适合的碳氢化合物包括烯烃(诸如乙烯、丙烯、丁烯和戊烯等)、二烯烃(诸如丁二烯、异戊二烯、戊二烯及己二烯等)与卤化烯烃(包括单氟乙烯、二氟乙烯、三氟乙烯、四氟乙烯、单氯乙烯、二氯乙烯、三氯乙烯及四氯乙烯等)。
含氮碳氢化合物源中可包括的含氮碳氢化合物或含氮碳氢化合物的衍生物可通过化学式CxHyNz描述,其中x具有1至12之间的范围,y具有2至20之间的范围,而z具有1至10之间的范围。
适合的含氮碳氢化合物包括下述化合物中的一种或多种,如:甲基胺、二甲基胺、三甲基胺(TMA)、三乙基胺、苯胺、吡啶及苄腈(benzonitrile)。
类似地,如果需要,诸如氢气(H2)、氮气(N2)、氨气(NH3)之类的各种气体或上述各种气体的组合可添加到气体混合物。氩、氦及氮气用于控制非晶形碳层的密度和沉积速率。氢气和/或氨气的添加可用于控制非晶形碳层的氢比率。
在某些实施例中,氮掺杂非晶形碳沉积工艺包括使用等离子体引发气体,所述气体在碳氢化合物之前和/或同时导入腔室,且引发所述等离子体以开始沉积。等离子体引发气体可为高电离势气体,包括但不限于氦气、氢气、氮气、氩气与上述气体的组合,其中氦气较佳。等离子体引发气体亦可为化学性质惰性的气体,诸如氦气、氮气、或氩气为佳。适合用于气体的电离势为从约5eV(电子势)到25eV。等离子体引发气体可在含氮碳氢化合物源和/或碳氢化合物源之前导入腔室,使得稳定的等离子体得以形成并且减少电弧作用的机会。用作稀释气体或载气的惰性气体(诸如氩)可与等离子体引发气体、含氮碳氢化合物源、碳氢化合物源或上述气体的组合一起导入。
对于氮掺杂非晶形碳沉积而言,碳氢化合物与含氮碳氢化合物可按照碳氢化合物对含氮碳氢化合物的气体摩尔流率比为约1:10或超过1:10导入,例如从约1:10到10:1,诸如从约1:5到约3:1。在一个实施例中,对于氮掺杂非晶形碳沉积而言,碳氢化合物对含氮碳氢化合物的气体摩尔流率比可从约1:2到约1:1。
通过维持约0.5Torr或超过0.5Torr的腔室压力,氮掺杂的非晶形碳层可从处理气体沉积,所述腔室压力诸如从约0.5Torr至约20Torr,且在一个实施例中,约2Torr或超过2Torr,例如从约2Torr至约4Torr。
氮掺杂非晶形碳层可在维持衬底温度从约0°C至约800°C的腔室中从碳氢化合物源与氮掺杂碳氢化合物源沉积,所述衬底温度为诸如从约200°C到约650°C的温度,或位于从约300°C至约550°C的温度,例如从约400°C至约480°C。已观察到,在提高的温度下沉积氮掺杂非晶形碳膜产生较致密的膜,这样相应地改善膜的蚀刻选择性。
亦已观察到,沉积的碳掺杂非晶形碳层的蚀刻选择性随沉积所述层时喷头与衬底表面之间的电极间距改善,所述间距诸如为200mils(密耳)至5000mils之间的间距,例如约500mil的间距。
在某些实施例中,当使用等离子体时,碳氢化合物源、氮掺杂碳氢化合物源及等离子体引发气体被导入腔室,并且引发等离子体而开始沉积。双重频率RF系统可用于生成等离子体。据信,双重频率RF功率的应用能提供通量与离子能量的独立控制,因为据信离子撞击膜表面的能量会影响膜密度。据信,高频率等离子体控制等离子体密度,而低频率等离子体控制离子撞击衬底表面的动能。混合的RF功率的双重频率源提供范围从约10MHz至约30MHz的高频功率(例如为约13.56MHz),并且提供范围从约10kHz至约1MHz的低频功率(例如约350kHz)。当使用双重频率RF系统来沉积氮掺杂非晶形碳膜时,第二RF功率对总混合频率功率的比率较佳为低于约0.6对1.0(0.6:1)。施加RF功率与使用一个或多个频率可基于衬底尺寸及使用的设备而变化。在某些实施例中,可使用单一频率RF功率的应用,且一般是此述的高频功率的应用。
可通过施加一功率密度的RF功率至衬底表面区域而生成等离子体,所述功率密度(对于衬底表面积而言)为从约0.01W/cm2至约5W/cm2,诸如从约0.8到约2.3W/cm2,例如约2W/cm2。对300mm的衬底而言,功率的施加可从约1瓦至约2000瓦,诸如从约800瓦至约1600瓦,例如约1400瓦。
用于处理300mm圆形衬底的示范性沉积工艺利用诸如氦和/或氩之类的等离子体引发气体、诸如乙炔(C-2H2)之类的碳氢化合物源、诸如三甲基胺(N(CH3)3)之类的含氮碳氢化合物源。所述工艺可包括:以从约0sccm至约50000sccm(例如介于约400sccm至约8000sccm之间)的流率供应诸如氦和/或氩之类的等离子体引发气体、以从约0sccm至约50000sccm(例如介于约400sccm至约8000sccm之间)的流率供应诸如乙炔(C2H2)之类的碳氢化合物源、以及以从约10sccm至约10000sccm(例如介于约100sccm至约1000sccm之间)的流率供应诸如三甲基胺(N(CH3)3)之类的含氮碳氢化合物源、施加从约10瓦至约2000瓦的双重频率RF功率、维持从约0.5Torr至约20Torr的腔室压力、以及维持从约50°C至约480°C的衬底温度。此工艺范围提供氮掺杂非晶形碳层在约
Figure BDA00002195109300081
(埃/分钟)至约
Figure BDA00002195109300082
的范围内的沉积速率。本领域普通技术人员一旦阅读在本文中披露的内容,就能够计算适合的工艺参数,以生产不同沉积速率的氮掺杂非晶形碳膜。
在沉积工艺的一个实施例中,执行多次个别非晶形碳沉积以形成氮掺杂非晶形碳层。在多重沉积工艺的一个方面中,诸如本文所述的沉积步骤之后是暂停步骤,在所述暂停步骤中,等离子体引发气体、稀释气体和/或前驱物可按照减少或无沉积速率流入。适合的等离子体引发气体、稀释气体和/或前驱物可以从约0sccm至约50000sccm的流率流进腔室。倘若使用等离子体引发气体和/或稀释气体,则等离子体可在暂停步骤引发。沉积与暂停步骤随后可重复,直到获得期望厚度为止,且可重复1至100个循环,诸如从10至50个循环,例如30个循环,或替代性地在约1%至约100%的非晶形碳材料的厚度之间沉积,诸如约2%至约10%循环,例如约3.3%。个别的循环可沉积氮掺杂非晶形碳材料从约每循环
Figure BDA00002195109300091
至约
Figure BDA00002195109300092
的厚度,以形成具有厚度从约
Figure BDA00002195109300093
至约
Figure BDA00002195109300094
的氮掺杂非晶形碳层。循环的沉积工艺可使用一个或多个上文所述的工艺参数调整。
或者,在沉积步骤之前或在暂停步骤期间,亦能泵抽出气体并且再度流入气体。
氮掺杂非晶形碳膜的一项主要优点是与其他a-C:H膜相比密度增加。不囿于理论,但据信与使用不具有预先存在的碳氮键的氮气(N2)或氨气(NH3)掺杂的氮掺杂非晶形碳膜相比,使用已具有碳氮键的含氮碳氢化合物前驱物更容易结合进入刚沉积的膜,这是由于预先存在的碳氮键存在的原因。进一步相信将氮结合进入刚沉积的膜减少了H2结合进入所述膜的量,因此增加氮碳键结网络,从而相应地导致膜密度增加。
由此述的工艺所沉积的非晶形碳材料的示例如下所示:
比较例1:
比较性的非晶形碳沉积工艺示例包括:提供约400sccm的氦流率至处理腔室,提供约14000sccm的氩流率至处理腔室,提供约600sccm的C2H2流率至处理腔室,施加约1400瓦的高频(13.56MHz)RF功率,维持在约400°C的沉积温度,维持腔室压力于约3.5Torr,具有约300mils的间距,以生产蚀刻选择性约为19的非晶形碳层。
比较例2:
比较性的非晶形碳沉积工艺示例包括:提供约800sccm的氦流率至处理腔室,提供约1000sccm的氩流率至处理腔室,提供约2400sccm的C3H6流率至处理腔室,施加约1600瓦的高频(13.56MHz)RF功率,维持在约550°C的沉积温度,维持腔室压力于约6Torr,具有约300mils的间距,以生产蚀刻选择性约为19的非晶形碳层。
示例1:
氮掺杂非晶形碳沉积工艺示例包括:提供约400sccm的氦流率至处理腔室,提供约14000sccm的氩流率至处理腔室,提供约600sccm的C2H2流率至处理腔室,以及提供约200sccm的三甲基胺至处理腔室,施加约1400瓦的高频(13.56MHz)RF功率,维持在约400°C的沉积温度,维持腔室压力于约3.5Torr,具有约300mils的间距,以生产蚀刻选择性约为24的氮掺杂非晶形碳层。
示例2:
氮掺杂非晶形碳沉积工艺示例包括::提供约400sccm的氦流率至处理腔室,提供约14000sccm的氩流率至处理腔室,提供约600sccm的C2H2流率至处理腔室,以及提供约500sccm的三甲基胺至处理腔室,施加约1400瓦的高频(13.56MHz)RF功率,维持在约400°C的沉积温度,维持腔室压力于约3.5Torr,具有约300mils的间距,以生产蚀刻选择性约为25的氮掺杂非晶形碳层。
示例3:
氮掺杂非晶形碳沉积工艺示例包括:提供约400sccm的氦流率至处理腔室,提供约14000sccm的氩流率至处理腔室,提供约600sccm的C2H2流率至处理腔室,以及提供约1000sccm的三甲基胺至处理腔室,施加约1400瓦的高频(13.56MHz)RF功率,维持在约400°C的沉积温度,维持腔室压力于约3.5Torr,具有约300mils的间距,以生产蚀刻选择性约为22的氮掺杂非晶形碳层。
比较例1、2及示例1、2、3的全面氧化物蚀刻选择性结果绘于图2。图2是描绘与先前已知的非晶形碳层相比较的氮掺杂非晶形碳层随氮掺质程度变化的全面氧化物蚀刻选择性的图表200。X轴标为样本号,而Y轴标为蚀刻选择性。绘于图2的结果证明改变氮掺杂非晶形碳层的氮掺杂会调变所沉积的膜的蚀刻选择性,与比较性范例1、2相比呈现约30%的最大改善。示例3证明在高流率下,三甲基胺开始蚀刻所沉积的膜。
图3是描绘相对于氮掺杂碳氢化合物流率(sccm)的膜密度(gm/cm3)的图表300。X轴标为三甲基胺掺杂流率(sccm),而Y轴标为膜密度(gm/cc)。图表300显示当三甲基胺的流率增加时,三甲基胺掺杂的非晶形碳的密度亦增加。浏览图2与图3,可知蚀刻选择性并非一定随密度线性增加,因此表明化学键结亦影响蚀刻选择性。
图4是描绘相对于沉积温度(°C)的膜密度(gm/cm3)的图表400。X轴标为沉积温度(°C),而Y轴标为膜密度(gm/cc)。图表400证明膜密度可通过进一步增加沉积温度而增加。
表1总结比较例1、2及示例2的膜性质。
Figure BDA00002195109300111
Figure BDA00002195109300121
表1
在一个实施例中,所沉积的氮掺杂非晶形碳层具有可调整的碳:氮比率,所述碳:氮比率的范围从约0.01%的氮至约10.0%的氮。在一个实施例中,所沉积的氮掺杂非晶形碳层具有可调整的碳:氮比率,所述碳:氮比率的范围从约0.1%的氮至约4.0%的氮。在一个实施例中,所沉积的氮掺杂非晶形碳层具有可调整的碳:氮比率,所述碳:氮比率的范围从约1.5%的氮至约2%的氮。期望控制非晶形碳层的氮比率以调整膜蚀刻选择性。
其他沉积腔室皆在本发明的范畴内,而上文所列的参数可根据用于形成非晶形碳层的特殊沉积腔室而变化。例如,其他沉积腔室可具有较大或较小的体积,因此需要比所陈述的购自应用材料公司的沉积腔室大或小的气体流率。
氮掺杂非晶形碳硬掩模
图5A至图5I是将本文所描述的氮掺杂非晶形碳层用于蚀刻材料层的工艺的侧视示意图。基底材料510沉积在衬底表面(图中未示)上,以开始形成材料堆迭500。所述基底材料可为用在形成半导体器件中的一种或多种材料,包括硅衬底材料、氧化物材料或多晶硅材料等。第一氮掺杂非晶形碳层520沉积在基底材料510上,而第一抗反射涂层材料530沉积在第一氮掺杂非晶形碳层520上,如图5B所示。所述第一抗反射涂层材料530用于控制光刻图案化工艺期间的光反射。第一抗反射涂层材料530可包含二氧化硅、氧氮化硅、氮化硅、或上述材料的组合。抗反射涂层材料可为可从美国加州Santa Clara的应用材料公司购得的DARCTM材料层。
第二氮掺杂非晶形碳层540与第二抗反射涂层材料550可依序沉积在第一抗反射涂层材料上,如图5C所示。第二氮掺杂非晶形碳层540与第二抗反射涂层材料550可为与沉积层520及第一抗反射涂层材料530的相同的材料。诸如光阻材料之类的阻挡层(resist layer)560随后沉积在第二抗反射涂层材料550上,如图5D所示。阻挡层随后通过光刻工艺图案化,从而产生图案化阻挡层561,如图5E所示。如图5F中所示,通过一个或多个蚀刻工艺而首先蚀刻第二抗反射涂层材料550且随后蚀刻第二氮掺杂非晶形碳层540,形成在阻挡层561中的第一图案562被转移到第二氮掺杂非晶形碳层540,从而形成经图案化的第二氮掺杂非晶形碳层541。经图案化的第二氮掺杂非晶形碳层541可表现为用于下方材料的硬掩模。第二抗反射涂层材料550可通过一个或多个蚀刻工艺或通过单独的工艺而移除。
第三氮掺杂非晶形碳层570沉积在第一抗反射涂层材料530和经图案化的第二非晶形碳层541上,如图5G所示。第三氮掺杂非晶形碳层可通过本文所述的任一工艺来沉积。第三氮掺杂非晶形碳层570由各异向性蚀刻(anisotropic etch)工艺来图案化,以提供侧壁氮掺杂非晶形碳材料571,如图5H所示。与通过当前光刻工艺能实现的情况相比,侧壁氮掺杂非晶形碳材料571的存在容许具有减少的临界尺度与特征结构尺寸(即图案密度增加)的第二图案572形成。经图案化的第二氮掺杂非晶形碳层541结合侧壁氮掺杂非晶形碳材料571可表现为用于下方的第一抗反射涂层材料530与第一氮掺杂非晶形碳层520的硬掩模层。
第一抗反射涂层材料530随后受到蚀刻,以形成具有第二图案572的经图案化的抗反射涂层531,如图5I所示。经图案化的第二氮掺杂非晶形碳层541与侧壁氮掺杂非晶形碳材料571在蚀刻工艺期间被移除或通过后续工艺移除。第一氮掺杂非晶形碳层520随后被蚀刻以形成经图案化的第一氮掺杂非晶形碳层521,所述经图案化的第一氮掺杂非晶形碳层521具有将被转移到下方的基底材料510的第二图案572。随后使用经图案化的第一氮掺杂非晶形碳层521做为硬掩模层来蚀刻基底材料510(如图5K所示),并将经图案化的第一氮掺杂非晶形碳层521移除,以提供具有第二图案572的经图案化基底材料511的衬底表面,如图5L所示。
在替代性实施例中,在图5F至图5L中,经图案化的阻挡材料用于取代经图案化的第二氮掺杂非晶形碳层541,因而不需要经图案化的第二氮掺杂非晶形碳层540及第二抗反射涂层材料550以及图5C至图5E中相对应的沉积步骤与蚀刻步骤。在某些实施例中,氮掺杂的非晶形碳层中的任一个可被非晶形碳层取代,所述非晶形碳层诸如可从美国加州Santa Clara应用材料公司购得的Advanced Patterning FilmTM(APF)材料。
图6A图至图6H是在空间掩模(space mask)双重图案化工艺中使用本文所述的氮掺杂非晶形碳层的工艺的侧视示意图。基底材料610沉积在衬底表面上以开始形成材料堆迭600。所述基底材料可为用在形成半导体器件中的一种或多种材料,包括硅衬底材料、氧化物材料或多晶硅材料等。第一氮掺杂非晶形碳层620沉积在基底材料610上,而具有第一图案632的经图案化的阻挡层630形成在第一氮掺杂非晶形碳层620上,如图6A所示。非晶形碳层可为可从美国加州Santa Clara应用材料公司购得的AdvancedPatterning FilmTM(APF)材料,或替代性地为本文所述的氮掺杂非晶形碳材料。阻挡层630可通过光刻工艺图案化。经图案化的阻挡层630随后经受修整工艺,由此窄化经图案化的阻挡材料的宽度以形成由修整的阻挡材料631所界定的第二图案633,如图6B所示。第一氮掺杂非晶形碳层620随后受到蚀刻,以将第二图案633转移,而形成经图案化的氮掺杂非晶形碳层621,如图6C所示。
侧壁间隔件640随后形成于邻接经图案化的氮掺杂非晶形碳层621结构处。间隔件可包含可蚀刻材料,所述可蚀刻材料的蚀刻速率与第一非晶形碳层或共形非晶形碳材料不同。适合的材料包括例如二氧化硅、氧氮化硅、氮化硅、或上述材料的组合。氮掺杂非晶形碳材料的间隙填充层650随后沉积在侧壁间隔件640与经图案化的氮掺杂非晶形碳层621结构上,如图6E所示。氮掺杂非晶形碳材料可由此述的任何工艺沉积。间隙填充层650随后被往回蚀刻以暴露侧壁间隔件640,如图6F所示。随后蚀刻侧壁间隔件640以暴露基底材料610,从而界定硬掩模层651,如图6G所示。基底材料610可随后受图案化蚀刻,而形成经图案化的基底材料611,如图6H所示。
在替代性工艺中,在侧壁间隔件640形成于邻接经图案化的氮掺杂非晶形碳层621结构(如图6D所示)之后,经图案化的氮掺杂非晶形碳层621随后从衬底表面剥离。侧壁间隔件640随后形成如图6E’所示的图案,所述图案可作为基底材料610所用的硬掩模。基底材料610随后可受图案化蚀刻,而形成经图案化的基底材料611。
上述内容涉及本发明的实施例,在不背离本发明基本范畴的情况下,可设计其他与进一步的本发明实施例,而本发明的范畴由所附权利要求书确定。

Claims (15)

1.一种在衬底上形成氮掺杂非晶形碳层的方法,包括以下步骤:
将含氮碳氢化合物源气体导入含有衬底的处理腔室;
将碳氢化合物源气体导入所述衬底处理腔室;
将等离子体引发气体导入所述衬底处理腔室;
在所述衬底处理腔室中生成等离子体;以及
在所述衬底上形成氮掺杂非晶形碳层。
2.如权利要求1所述的方法,其特征在于,所述含氮碳氢化合物源气体通过化学式CxHyNz描述,其中x具有1至12之间的范围,y具有2至20之间的范围,而z具有1至10之间的范围。
3.如权利要求1所述的方法,其特征在于,所述含氮碳氢化合物源气体从包含以下项的组中选择:
甲基胺、二甲基胺、三甲基胺(TMA)、三乙基胺、苯胺、吡啶、苄腈、及上述气体的组合。
4.如权利要求2所述的方法,其特征在于,所述碳氢化合物源气体通过化学式CxHy描述,其中x具有1至10之间的范围,而y具有2至30之间的范围。
5.如权利要求3所述的方法,其特征在于,所述碳氢化合物源气体从包含以下项的组中选择:
乙炔(C2H2)、丙烯(C3H6)、丙炔(C3H4)、丙烷(C3H8)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)、乙烯乙炔、苯基乙炔、及上述气体的组合。
6.如权利要求5所述的方法,其特征在于,所述碳氢化合物源气体的摩尔流率与所述含氮碳氢化合物源气体的摩尔流率之比介于约1:5至约3:1之间。
7.如权利要求1所述的方法,其特征在于,刚沉积的氮掺杂非晶形碳层具有可调整的碳:氮比率,所述可调整的碳:氮比率的范围是从约0.1%的氮至约4.0%的氮。
8.一种形成器件的方法,包括以下步骤:
通过以下步骤在衬底上形成一个或多个氮掺杂非晶形碳层:
提供气体混合物到含有衬底的衬底处理腔室,其中所述气体混合物包含含氮碳氢化合物源气体、一种或多种碳氢化合物、以及惰性气体;
以及
在所述衬底处理腔室中生成等离子体,以分解所述气体混合物中的所述一种或多种碳氢化合物以及所述含氮碳氢化合物源,而在所述衬底上形成所述一个或多个氮掺杂非晶形碳层;
在所述一个或多个氮掺杂非晶形碳层的至少一个区域中界定一图案;以及
使用所述一个或多个氮掺杂非晶形碳层作为掩模,将界定在所述一个或多个氮掺杂非晶形碳层的所述至少一个区域中的所述图案转移至所述衬底。
9.如权利要求8所述的方法,其特征在于,所述氮掺杂碳氢化合物源通过化学式CxHyNz描述,其中x具有1至12之间的范围,y具有2至20之间的范围,而z具有1至10之间的范围。
10.如权利要求9所述的方法,其特征在于,所述氮掺杂碳氢化合物源从包含以下项的组中选择:
甲基胺、二甲基胺、三甲基胺(TMA)、三乙基胺、苯胺、吡啶、苄腈、及上述气体的组合。
11.如权利要求8所述的方法,其特征在于,所述气体混合物中的所述一种或多种碳氢化合物通过通式CxHy描述,其中x具有2至4之间的范围,而y具有2至10之间的范围。
12.如权利要求11所述的方法,其特征在于,所述一种或多种碳氢化合物从由丙烯(C3H6)、丙炔(C3H4)、丙烷(C3H8)、丁烷(C4H10)、丁烯(C4H8)、丁二烯(C4H6)、乙炔(C2H2)、及上述气体的组合所组成的群组中选择。
13.如权利要求12所述的方法,其特征在于,所述氮掺杂非晶形碳层具有碳:氢比率,所述碳:氢比率的范围是从约5%的氢至约50%的氢。
14.如权利要求8所述的方法,其特征在于,所述惰性气体从由氦、氩、及上述气体的组合所组成的群组中选择。
15.如权利要求8所述的方法,其特征在于,所述氮掺杂碳氢化合物源气体是三甲基胺,所述一种或多种碳氢化合物是乙炔,而所述惰性气体是包含氦与氩的混合物。
CN2011800162123A 2010-03-30 2011-02-22 氮掺杂的非晶碳硬掩模 Pending CN102971837A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/750,378 US20110244142A1 (en) 2010-03-30 2010-03-30 Nitrogen doped amorphous carbon hardmask
US12/750,378 2010-03-30
PCT/US2011/025688 WO2011126612A2 (en) 2010-03-30 2011-02-22 Nitrogen doped amorphous carbon hardmask

Publications (1)

Publication Number Publication Date
CN102971837A true CN102971837A (zh) 2013-03-13

Family

ID=44709985

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800162123A Pending CN102971837A (zh) 2010-03-30 2011-02-22 氮掺杂的非晶碳硬掩模

Country Status (6)

Country Link
US (2) US20110244142A1 (zh)
JP (1) JP2013524508A (zh)
KR (1) KR20130062924A (zh)
CN (1) CN102971837A (zh)
TW (1) TW201139718A (zh)
WO (1) WO2011126612A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105714250A (zh) * 2016-02-19 2016-06-29 西安理工大学 一种n掺杂非晶碳膜阻变存储器的制备方法
CN107667415A (zh) * 2015-06-11 2018-02-06 应用材料公司 用于先进图案化的线边缘粗糙度降低的保形可剥离碳膜
CN109637926A (zh) * 2015-10-09 2019-04-16 应用材料公司 超高模量与蚀刻选择性的硼-碳硬掩模膜
CN112563121A (zh) * 2019-09-26 2021-03-26 长鑫存储技术有限公司 图形转移方法
WO2022198958A1 (zh) * 2021-03-24 2022-09-29 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US9524742B2 (en) * 2011-10-24 2016-12-20 Youtec Co., Ltd. CXNYHZ film, deposition method, magnetic recording medium and method for manufacturing the same
RU2485047C1 (ru) * 2011-11-03 2013-06-20 Федеральное государственное бюджетное научное учреждение "Технологический институт сверхтвердых и новых углеродных материалов" (ФГБНУ ТИСНУМ) Способ получения углерод-азотного материала
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20130189845A1 (en) * 2012-01-19 2013-07-25 Applied Materials, Inc. Conformal amorphous carbon for spacer and spacer protection applications
JP5924094B2 (ja) * 2012-04-18 2016-05-25 新明和工業株式会社 刃物、その製造方法およびそれを製造するためのプラズマ装置
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
US8859430B2 (en) * 2012-06-22 2014-10-14 Tokyo Electron Limited Sidewall protection of low-K material during etching and ashing
CN102923688B (zh) * 2012-11-01 2015-02-04 中国科学院长春应用化学研究所 一种氮掺杂碳材料的制备方法及其应用
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9721784B2 (en) 2013-03-15 2017-08-01 Applied Materials, Inc. Ultra-conformal carbon film deposition
JP2014187231A (ja) * 2013-03-25 2014-10-02 Tokyo Electron Ltd プラズマエッチング方法及びプラズマエッチング装置
KR102091500B1 (ko) * 2013-06-21 2020-03-20 엘지디스플레이 주식회사 박막 트랜지스터 기판 및 그 제조방법
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
KR102287344B1 (ko) 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
US9748093B2 (en) 2015-03-18 2017-08-29 Applied Materials, Inc. Pulsed nitride encapsulation
US9646818B2 (en) 2015-03-23 2017-05-09 Applied Materials, Inc. Method of forming planar carbon layer by applying plasma power to a combination of hydrocarbon precursor and hydrogen-containing precursor
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9865459B2 (en) * 2015-04-22 2018-01-09 Applied Materials, Inc. Plasma treatment to improve adhesion between hardmask film and silicon oxide film
US10629435B2 (en) * 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10410872B2 (en) 2016-09-13 2019-09-10 Applied Materials, Inc. Borane mediated dehydrogenation process from silane and alkylsilane species for spacer and hardmask application
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
US10276379B2 (en) 2017-04-07 2019-04-30 Applied Materials, Inc. Treatment approach to improve film roughness by improving nucleation/adhesion of silicon oxide
US10593543B2 (en) 2017-06-05 2020-03-17 Applied Materials, Inc. Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth
JP7242631B2 (ja) 2017-07-24 2023-03-20 アプライド マテリアルズ インコーポレイテッド 酸化ケイ素上の超薄型アモルファスシリコン膜の連続性を向上させるための前処理手法
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
JP6926939B2 (ja) * 2017-10-23 2021-08-25 東京エレクトロン株式会社 半導体装置の製造方法
US10096475B1 (en) * 2017-11-17 2018-10-09 Lam Research Corporation System and method for depositing a homogenous interface for PECVD metal-doped carbon hardmasks
US11404275B2 (en) 2018-03-02 2022-08-02 Lam Research Corporation Selective deposition using hydrolysis
WO2019212592A1 (en) * 2018-05-03 2019-11-07 Applied Materials, Inc. Pulsed plasma (dc/rf) deposition of high quality c films for patterning
CN114072898A (zh) 2019-05-24 2022-02-18 应用材料公司 基板处理腔室
WO2020243342A1 (en) 2019-05-29 2020-12-03 Lam Research Corporation High selectivity, low stress, and low hydrogen diamond-like carbon hardmasks by high power pulsed low frequency rf
US11476154B2 (en) 2019-09-26 2022-10-18 Raytheon Company Field effect transistor having improved gate structures
US11322352B2 (en) * 2020-04-20 2022-05-03 Applied Materials, Inc. Nitrogen-doped carbon hardmask films
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
JP2023532883A (ja) * 2020-06-29 2023-08-01 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度窒素ドープ炭素膜を製造するための方法
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US20220178026A1 (en) * 2020-12-03 2022-06-09 Applied Materials, Inc. Carbon cvd deposition methods to mitigate stress induced defects

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4882256A (en) * 1986-10-14 1989-11-21 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer comprising amorphous carbon
US20040038514A1 (en) * 1998-02-05 2004-02-26 Asm Japan K.K. Method for forming low-k hard film
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
CN1930320A (zh) * 2004-03-05 2007-03-14 应用材料公司 用于无定型碳膜的化学气相沉积的液体前驱体

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7867578B2 (en) * 2006-06-28 2011-01-11 Applied Materials, Inc. Method for depositing an amorphous carbon film with improved density and step coverage
US20080260968A1 (en) * 2007-04-23 2008-10-23 Atto Co., Ltd. Method of forming amorphous carbon layer using cross type hydrocarbon compound and method of forming low-k dielectric layer using the same

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4882256A (en) * 1986-10-14 1989-11-21 Minolta Camera Kabushiki Kaisha Photosensitive member having an overcoat layer comprising amorphous carbon
US20040038514A1 (en) * 1998-02-05 2004-02-26 Asm Japan K.K. Method for forming low-k hard film
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
CN1930320A (zh) * 2004-03-05 2007-03-14 应用材料公司 用于无定型碳膜的化学气相沉积的液体前驱体

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107667415A (zh) * 2015-06-11 2018-02-06 应用材料公司 用于先进图案化的线边缘粗糙度降低的保形可剥离碳膜
CN107667415B (zh) * 2015-06-11 2021-10-26 应用材料公司 用于先进图案化的线边缘粗糙度降低的保形可剥离碳膜
CN109637926A (zh) * 2015-10-09 2019-04-16 应用材料公司 超高模量与蚀刻选择性的硼-碳硬掩模膜
US11728168B2 (en) 2015-10-09 2023-08-15 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
CN105714250A (zh) * 2016-02-19 2016-06-29 西安理工大学 一种n掺杂非晶碳膜阻变存储器的制备方法
CN112563121A (zh) * 2019-09-26 2021-03-26 长鑫存储技术有限公司 图形转移方法
WO2022198958A1 (zh) * 2021-03-24 2022-09-29 长鑫存储技术有限公司 非晶碳膜的形成方法及半导体结构

Also Published As

Publication number Publication date
JP2013524508A (ja) 2013-06-17
KR20130062924A (ko) 2013-06-13
US20140370711A1 (en) 2014-12-18
WO2011126612A2 (en) 2011-10-13
US20110244142A1 (en) 2011-10-06
US20160086794A9 (en) 2016-03-24
WO2011126612A3 (en) 2011-12-22
TW201139718A (en) 2011-11-16

Similar Documents

Publication Publication Date Title
CN102971837A (zh) 氮掺杂的非晶碳硬掩模
US11728168B2 (en) Ultra-high modulus and etch selectivity boron-carbon hardmask films
US8105465B2 (en) Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
US8361906B2 (en) Ultra high selectivity ashable hard mask film
CN102939641A (zh) 改良堆迭缺陷率的非晶碳沉积方法
US7867578B2 (en) Method for depositing an amorphous carbon film with improved density and step coverage
KR101161912B1 (ko) 비결정질 탄소 층의 고온 증착 방법
US9589799B2 (en) High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US8513129B2 (en) Planarizing etch hardmask to increase pattern density and aspect ratio
US20080153311A1 (en) Method for depositing an amorphous carbon film with improved density and step coverage
KR20130118880A (ko) 초고도 선택도 도핑된 비정질 탄소 박리가능 하드마스크 현상 및 통합
US20070286954A1 (en) Methods for low temperature deposition of an amorphous carbon layer
KR20230151903A (ko) 기판 처리 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130313