TW200534389A - Method for fabricating semiconductor device capable of preventing damage by wet cleaning process - Google Patents

Method for fabricating semiconductor device capable of preventing damage by wet cleaning process Download PDF

Info

Publication number
TW200534389A
TW200534389A TW093117123A TW93117123A TW200534389A TW 200534389 A TW200534389 A TW 200534389A TW 093117123 A TW093117123 A TW 093117123A TW 93117123 A TW93117123 A TW 93117123A TW 200534389 A TW200534389 A TW 200534389A
Authority
TW
Taiwan
Prior art keywords
layer
forming
patent application
item
scope
Prior art date
Application number
TW093117123A
Other languages
English (en)
Other versions
TWI251296B (en
Inventor
Sung-Kwon Lee
Min-Suk Lee
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of TW200534389A publication Critical patent/TW200534389A/zh
Application granted granted Critical
Publication of TWI251296B publication Critical patent/TWI251296B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • H10B12/0335Making a connection between the transistor and the capacitor, e.g. plug
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/48Data lines or contacts therefor
    • H10B12/485Bit line contacts

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

200534389 九、發明說明: (一)發明所屬之技術領域 本發明係關於一種半導體元件之製造方法;尤其是使 用自行對準接觸製程之半導體元件製造方法。 (一)先前技術 大型積體化的趨勢導致需要在一個限制細胞區域之內 密集形成半導體元件。因此,半導體元件之單位元件的尺 寸,例如,電晶體和電容器,已逐漸減小。尤其,在動態 隨機存取記憶體(DRAM)元件中,當設計規則朝向最小化偏 移時,形成在細胞區域內之單位元件的尺寸已被減小。例 如,目前形成之DRAM元件的最小線寬小於0. 1 μηι,而且 經常具有小於8 0 n m之線寬。因此,應用傳統的製造方法有 許多困難。 在將使用具有193 nm波長之ArF光源的微影製程應用 到具有小於8 Onm線寬之半導體元件的案例中,需要發展~ 種額外的方法,以防止光阻在用以精確形成圖案和垂直蝕 刻縱深之蝕刻製程期間發生變形。 另一方面,半導體元件之積體程度的進步致使元件要 以堆疊方式形成。接觸栓(c ο n t a c t p 1 u g )或墊係堆疊結構的 一個範例。 對於接觸栓而言,因爲平台栓接觸(LPC)具有在最小面 積中製作很寬的接觸之底部部分,和比底部部分寬,以增 加接觸邊限之頂部部分,所以其常被使用。
形成LPC需要使用自行對準接觸(SAC)蝕刻製程。SAC 200534389 蝕刻製程係一種藉由沿著具有特定蝕刻選 執行蝕刻製程,形成接觸之方法。一般而 程使用介於氮化物和氧化物之間的蝕刻選 最近大型積體化和設計規則最小化之 案,如閘極電極之間的距離減少,但是, 反而增加。結果,表示導電圖案的高度對 比之外觀比就逐漸增加。 因此,需要發展一種方法,用以塡滿 之導電圖案間所產生的空白空間。例如, 璃(BPSG),因爲BPSG具有良好的空隙塡 因爲BPSG需要在高於800 °C之溫度下作漬 以含有BPSG擴散進入橫向側之問題。 爲了解決上述問題,發展一種方法, 緣層之流動塡滿製程。可流動絕緣層之範 層(APL)和又稱爲旋佈介電質(SOD)層之旋 對於S0G層而言,基本上係要透過在 7 〇 〇 °C之溫度的固化製程密化S 0 G層。但| 部分並未完全密化,因此很容易受到接觸 執行之濕式淸洗製程的影響。 此問題將參考附圖詳細說明。 第1A圖和第1B圖爲比較各在SAC^ 得到之傳統B P S G層和傳統S 0 G層的剖面 鏡(SEM)照片。 尤其,第1 A圖爲形成當作第一層間 擇比之底部結構 言,S A C蝕刻製 擇比。 趨勢係使導電圖 導電圖案的厚度 導電圖案的寬度 在具有高外觀比 採用硼磷矽酸玻 滿特性。但是, ί熱流動製程,所 係採用可流動絕 例有先進平坦化 佈玻璃(S0G)。 範圍從6 0 0 °C到 | S0G層的底部 形成製程之後所 丨刻製程之後,所 掃瞄式電子顯微 絕緣層ILD1之 -6- 200534389 BPSG層的剖面圖,而第1B圖爲形成當作第二層間絕 ILD2之SOG層的剖面圖。此外,第1 A圖和第1B圖 別圖示在SAC蝕刻製程之後所形成的接觸孔洞C !和 第2A圖和第2B圖爲比較在SAC蝕刻製程之後又 濕式淸洗製程之後,傳統B P S G層和傳統S Ο G層的橫 SEM照片。 尤其,第2 A圖爲第1 A圖之傳統B P S G層沿著線 所取之橫截面圖。如圖所示,在經歷過使用氧化緩衝 液(BOE)之濕式淸洗製程之後,並沒有觀察到當作第一 絕緣層I L D 1之B P S G層有受到損傷。在此,在S A C 製程之後跟隨著濕式淸洗製程,以確保接觸底面積的 尺寸(C D )和移除蝕刻殘留物。 第2B圖爲第1B圖之傳統SOG層沿著線B-B’所 橫截面圖。如圖所示,在經歷過濕式淸洗製程之後, 底部部分並未完全密化,所以只有部分固化之第二層 緣層ILD2,即SOG層,的底部部分很容易受到損傷。 符號A表示在經歷濕式淸洗製程之後所產生的損傷。 ,S Ο G層的頂部部分幾乎原封不動。可以完全固化之 層的厚度T爲4000A。 第3 A圖和第3 B圖爲比較在栓形成製程之後所得 傳統BPSG層和傳統SOG層的剖面SEM照片。 尤其,第3A圖爲用以當作第一層間絕緣層ILD1 統B P S G層的剖面圖。導電層,如多晶矽層,被沉積 含藉由蝕刻層間絕緣層ILD 1形成之接觸孔洞的基板 .緣層 也分 C2。 執行 截面 A-A, 蝕刻 層間 蝕刻 臨界 取之 因爲 間絕 參考 但是 SOG 到之 之傳 在包 結構 -7- 200534389 上,然後施以化學機械硏磨(c Μ P )製程。根據此c Μ P製程 ,會形成許多栓P 1。在採用B p S G層當作第一層間絕緣層 ILD1之案例中,因爲第一層間絕緣層1LD1不會受到濕式 淸洗製程的影響,所以沒有栓P 1之間短路和絕緣性質退化 的問題。 第3B圖爲用以當作第二層間絕緣層ILD2之傳統SOG 層的剖面圖。如圖所示,第二層間絕緣層1L D 2,即S Ο G 層,的底部部分會因濕式淸洗製程而受到損傷,而此第二 層間絕緣層IL D 2之底部部分的損傷部’會使位在栓P 2之 間之第二層間絕緣層ILD2的絕緣性質退化。若此損傷變得 更嚴重,則栓P 2之間會有短路的問題。 因此,因爲受限於增加到某厚度之s 0 G層的固化會產 生密化差異,所以需要發展一種方法,用以防止層間絕緣 層,如S Ο G層,因濕式淸洗製程而受到損傷。 (三)發明內容 因此,本發明之目的係提供一種半導體元件之製造方 法,其能夠防止層間絕緣層在經歷濕式淸洗製程時受到損 傷,此損傷係由於施以固化製程之S Ο G層會因厚度而產生 密化差異。 根據本發明之一方向,本發明提供一種半導體元件之 製造方法,其中包含下列步驟:在基板上形成許多導電結 構;然後在許多導電結構形成蝕刻停止層和可流動絕緣層 ;在可流動絕緣層上形成光阻圖案;藉由使用光阻圖案當 作蝕刻遮罩,蝕刻可流動絕緣層,而形成許多接觸孔洞’ 200534389 因此會曝露出部分的蝕刻停止層;在接觸孔洞上形成至少 一個障壁層;移除該至少一個障壁層和位在接觸孔洞的各 底部之蝕刻停止層,因此曝露出基板;及淸洗接觸孔洞。 (四)實施方式 下面’將參考附圖詳細說明根據本發明優選實施例之 半導體元件製造方法,其能夠防止因濕式淸洗製程所造成 的損傷。 第4圖爲在自行對準接觸(SAC)蝕刻製程之後所得到 之半導體元件的佈局圖。 如圖所示,有許多閘極電極G 1到G5以很均勻的距離 排列。在交越閘極結構G1到G 5的方向上,藉由以T之形 式排列一組接觸孔洞C 1到C 1 7,形成許多T型平台栓LP 1 到LP7。在此,從LP1到LP7之參考數字分別表示第一到 第七平台栓。第一到第七平台栓LP1到LP7的每一個都包 含了 3個接觸孔洞。例如,在第一平台栓LP 1中,用於儲 存節點接觸之接觸孔洞以C 1和C4表示,而用於位元線接 觸之接觸孔洞以C13表示。換言之,參考數字C1到C12 表示用於儲存節點接觸之接觸孔洞,而參考符號C 1 3到C 1 7 表示用於位元線接觸之接觸孔洞。 另一方面,雖然沒有圖示,但是層間絕緣層係形成在 於第一到第七平台栓L P 1到L P 7的每一個兩兩之間之閘極 結構G1到G5上。 下面,將參考圖示沿著第4圖之線A-A ’和線B-B’的方 向所取之橫截面圖的第5A圖到第5E圖,提供用以形成半 200534389 導體元件之方法的詳細說明。 第5 A圖到第5 E圖爲根據本發明優選實施例之半導體 元件製造方法的橫截面圖。雖然本發明之優選實施例舉的 是用以形成平台栓接觸之接觸孔洞的範例,但是此接觸孔 洞可以採用用以形成金屬導線接觸,位元線接觸或接觸雜 質接面區,如源極/汲極接面之電容器的儲存節點接觸,及 用以形成接觸墊之其他方法。此外,閘極結構G 1到G5採 用相同的參考符號。 參考第5A圖,藉由在提供各種不同的元件構件之基板 5 0上堆疊閘極硬式遮罩5 3、閘極導電層5 2和閘極絕緣層 5 1,形成許多閘極結構G 2到G 5。 閘極絕緣層5 1係由氧化物系材料製成的,如二氧化砂 。閘極導電層52係由選擇自由多晶矽、鎢(w)、氮化鎢(WNX) 、矽化鎢(WSix)所組成之組群的材料製成的。此外,也可 能藉由使用這些列出的材料之組合材料形成閘極導電層5 2 〇 閘極硬式遮罩5 3係要在藉由蝕刻後續將要形成的層 間絕緣層形成接觸孔洞之製程期間,防止閘極導電層52受 到傷害。因此,閘極硬式遮罩5 3係由對層間絕緣層具有特 疋蝕刻選擇比之材料製成的。例如,若層間絕緣層係由氧 化物系材料製成的,則閘極硬式遮罩53係由氮化物系材料 製成的,如氮化矽(SiN)或氮氧化矽(Si〇N)。若層間絕緣層 係由聚合物系低介電質材料製成的,則閘極硬式遮罩5 3係 由氧化物系材料製成的。 -10- 200534389 雖然並未圖示,但是位在閘極結構G 2到G 5的每一個 兩兩之間之基板5 0,有形成雜質擴散區,如源極/汲極接面 〇 在此特別說明雜質擴散區的形成,首先,透過對準聞 極結構G2到G5執行離子佈植製程,先將雜質植入基板50 。在閘極結構G2到G5的側壁上形成許多間隔層。然後, 執行另一離子佈植製程,形成輕摻雜汲極(L D D )結構。在此 ,更詳細說明用於形成LDD結構和雜質擴散區之各個離子 佈植製程,而省略說明間隔層形成製程。 其次,在上述結果的結構之整個表面上,形成蝕刻停 止層5 4。在此,蝕刻停止層5 4扮演防止基板5 0在後續的 SAC蝕刻製程期間受到損傷之角色。此時,最好沿著包含 閘極結構G2到G5之縱深形成蝕刻停止層54。此外,蝕刻 停止層5 4係由氮化物系材料製成的,如氮化矽或氮氧化矽 〇 但是,每一個閘極結構G2到G5都具有很大的外觀比 ’所以要形成在閘極結構G2到G5之間之材料的空隙塡滿 特性就會變差。因此,採用可流動絕緣層5 5,以改善要形 成在閘極結構G2到G5之間之蝕刻停止層5 4上之材料的 空隙塡滿特性。可流動絕緣層55可以是旋佈玻璃(SOG)層 或先進平坦化層(APL)。 在採用SOG層當作可流動絕緣層55之案例中,SOD 層典型使用具有分子重量範圍約爲1 00 0 am 11到1 0,000amu 之矽烷系材料。矽烷具有-(3丨111112113)11-之結構式,而且分 200534389 成功能群R1、R2和R3都有氫的過氫聚矽烷,及 R1、R2和R3係個別有機原子群,如具有約〗到8 烷基、芳基和羥基,之有機聚矽烷。 此外,將一定重量百分比的聚矽烷含在有機溶 如二丁醚、甲苯或二甲苯,也可以用以當作塗佈材 般而言,通常被稱爲聚矽烷之S Ο G,較之矽氧烷系 如矽酸鹽和矽倍半氧烷,可應用於高熱處理。因此 S Ο G可能可以安全地應用固化製程,以改善對濕Ξ 淸洗製程的容許度。此外,S Ο G層的製程,比氫矽 烷(HSQ)層更容易應用。 S Ο G層的厚度可以藉由改變溶解在聚矽烷溶液 體重量比和塗佈製程所使用之旋佈機的速度控制。 將旋佈機的旋轉數控制在每分鐘數百到數千轉之下 約含20%重量之固體聚矽烷的二丁醚溶液,直到得 層的厚度約爲5000Α。 在塗佈聚矽烷之後,透過烘烤製程除去溶劑。 程係在溫度範圍約從8 (TC到3 5 0 °C下執行之預烤製 溫度約爲40CTC下執行之硬烤,或其組合的其中之 外,烘烤製程使二甲苯和其他組成,如氮和氫,以 S Ο G層排出。 在約7 0 (TC之溫度下執行熱處理約1 0到6 0分 排出其他成份,然後,在約600°C到700°C之溫度範 行用以形成氧化矽層之固化製程約1 0分鐘到1小時 ’固化製程係在供應氣體之氧化環境下進行,因此 功能群 個碳之 劑中, 料。~ 材料, ,上述 式製程/ 倍半氧 中之固 例如, ,塗佈 到SOG 烘烤製 程,在 ——。lit 氣態自 鐘,以 圍下執 t。在此 ,使得 -12- 200534389 塗佈的聚矽烷除了矽以外之有機成份和其他成份排出’然 後藉由供應的氧形成氧化矽層。重覆此固化製程至少再多 1到2次。此時,氣體環境之範例爲可以單獨使用或組合 使用之水(H20)、氧氣(〇2)、氮氣(N2)、氫氣(H〇和笑氣(NsO) 〇 在使用APL層當作可流動絕緣層55之案例中,APL 層係以三個不同的步驟形成。此時,使用矽烷(SiH4)和過 氧化氫(H2 02 )當作來源氣體。 在形成APL層的第一步驟中,用電漿處理基板結構的 底部部分,以增進APL層的黏著性和流動特性。此時,此 電漿處理係在供應N20氣體或N2氣體之電漿輔助式化學氣 相沉積(PECVD)腔體中進行。此外,PECVD腔體壓力保持 在約4 0 0 m T 〇 r r,而功率約爲5 0 0 W。 在第二步驟中,根據APL層的空隙塡滿和自行平坦化 特性,在基板結構上沉積APL層。此沉積製程係在使用SiH4 和H2〇2的來源氣體之低壓(LP)-CVD腔體中進行。這些來 源氣體彼此相互反應形成APL層,其中氫氣群被附著在氧 化矽中。此反應式如下: S i Η 4 + Η 2 〇 2 — S i ( Ο Η ) 4 — S i Ο x H y (式 ι) 在第三步驟中,在SiH4、NW和/或n2的氣氛中執行 爐管退火製程,以自氧化砂中除去空氣群,因此完成APL 層的形成。
參考第5 B圖,藉由執行旋轉塗佈法,在上述結果的結 構上塗佈一光阻。藉由採用微影製程裝置,如KrF、A rF -13- 200534389 或F2裝置’和用以界定接觸孔洞的寬度之預定 示)’選擇性曝光光阻的預定部分。之後,進行辱 使曝光部分或未曝光部分保留下來,然後執行淸 以移除蝕刻殘留物。在曝光和顯影製程之後,形 成平台栓接觸(LPC)之光阻圖案56。 在形成光阻圖案5 6之前,可以在可流動絕緣 抗反射塗層(ARC)。ARC層可以防止由於可流動 高反射率所造成之散射,導致形成不想要的圖案 光阻圖案5 6與可流動絕緣層之黏著性。ARC層係 光阻圖案5 6類似蝕刻特性之有機系材料製成的。 在可流動絕緣層和光阻圖案5 6之間,或在可 層和ARC層之間,也可以形成硬式遮罩。此時, 可以由如氮化物系絕緣材料之材料,或如鎢和多 電材料製成。 其次,藉由使用光阻圖案5 6當作蝕刻遮罩, 倉虫刻製程,触刻可流動絕緣層,因而得到製成圖 動絕緣層55 A。根據此SAC蝕刻製程,形成曝露 結構G2到G5的每一個兩兩之間之預定位置的許 洞5 7。此時,藉由採用S A C蝕刻製程之典型配方 圖案的可流動絕緣層5 5 A。換言之,主要是與額 氣體,如He、Ne或Ar,一起使用如CF4、C4Fi CH2F2或C5F8這樣的氣體。在SAC蝕刻製程之後 阻圖案5 6。 參考第5C圖,藉由採用具有較差步級覆蓋特 網線(未圖 頁影製程, :洗製程, 成用以形 層上形成 絕緣層的 ,以改善 由具有和 流動絕緣 硬式遮罩 晶矽之導 執行S A C 案的可流 位在聞極 多接觸孔 得到製成 外的承載 >、C 4 F 8、 ,移除光 性之電漿 -14- 200534389 輔助式化學氣相沉積(p E C v D ),在上述結果的結構上,形 成由氮化物系材料製成之第一障壁層5 8和由氧化物系材 料製成製成之第二障壁層59。此時,沉積之第一障壁層58 和第二障壁層5 9,在接觸孔洞5 7底部部分的厚度薄於在 閘極結構G2到G5頂部部分的厚度。在此,形成之第一障 壁層5 8具有範圍約從2 0 A到1 5 0 A之厚度。 參考第5 D圖,將示於第5 C圖之蝕刻停止層5 4、第一 障壁層5 8和第二障壁層5 9施以連續的整體蝕刻製程,直 到位在閘極結構G2到G5的每一個兩兩之間之基板5 0的 雜質擴散區曝露出來。在整體蝕刻製程期間,位在接觸孔 洞5 7的每一個底部部分之部分的蝕刻停止層5 4的第一障 壁層58被移除,因此得到剩餘的蝕刻停止層54A和剩餘的 第一障壁層58A。 尤其,位在閘極結構G2到G5的每一側側壁上之剩餘 蝕刻停止層54A和剩餘第一障壁層58A的部分,都會變成 閘極結構G2到G5的間隔層。此外,在整體鈾刻製程之後 剩餘的第二障壁層以參考數字59A表示。 在整體蝕刻製程之後,使用淸洗溶液,如氧化物緩衝 蝕刻液(BOE)和氫氟酸(HF),執行濕式淸洗製程,以移除 S A C蝕刻製程和整體蝕刻製程之後剩餘的蝕刻殘留物,及 確保接觸孔洞57的每一個底部部分之臨界尺寸(CD)。此時 ,最好使用約以1比5 0到5 0 0比例之水稀釋的HF溶液。 但是,因爲剩餘第一障壁層58A和剩餘第二障壁層59 A 覆蓋製成圖案的可流動絕緣層5 5 A之側壁和頂部部分,所 -15- 200534389 以在上述之濕式淸洗製程期間,不會損傷製成圖案的可流 動絕緣層5 5 A。 參考第5E圖,將用以形成多栓之導電材料完全塡入接 觸孔洞57,然後執行化學機械硏磨(CMP)製程,直到曝露 出每一個閘極硬式遮罩53。在CMP製程之後,形成許多栓 60,其可以電性連接各個雜質擴散區。 在執行CMP製程之前,要對用以形成栓6〇之導電材 料施以回餘刻,以減緩元件構件在細胞區和週邊電路區之 間的高度差,使CMP製程可以很容易應用。 導電材料典型爲多晶矽,而且可以在其上堆疊障壁金 屬層,如鈦(Ti)和氮化鈦(TiN)。其也有可能會採用鎢(W) 當作導電材料。最近,選擇性磊晶成長(SEG)法也常被用以 形成栓6 0。 根據本發明之優選實施例,先對由SOG層製成之可流 動層間絕緣層執行S A C蝕刻製程,或形成A P L層,然後在 藉由採用提供較差步級覆蓋特性的沉積法,如PECVD,形 成第一和第二障壁層之後,移除蝕刻停止層。之後,進行 濕式淸洗製程,以完成接觸開口製程。 根據本發明之優選實施例,因爲可流動層間絕緣層的 側壁和頂部部分有用第一和第二障壁層覆蓋,所以可以防 止可流動層間絕緣層在經歷濕式淸洗製程時受到損傷。結 果’可以減少不良品的產生,還可改善半導體元件的良率 〇 雖然本發明之優選實施例舉的是使用T型光阻圖案之 -16- 200534389 SAC蝕刻製程的範例,但是SAC蝕刻製程仍有可能使用線 型或洞型光阻圖案。此外,除了在閘極結構之間之接觸開 口製程之外,也可以將SAC蝕刻製程應用到位元線開口製 程,即儲存節點接觸孔洞形成製程,及通路接觸形成製程 〇 本申請書包含在2003年10月2日向韓國專利局申請 之韓國專利申請書第KR 200 3 -0068702號相關之內容,在 此全部都納入參考。 本發明已參考特定優選實施例詳細說明,那些熟悉此 項技術之人士所做之各種不同的變化例和修正例,明顯將 不脫離本發明在後面申請專利範圍中所界定之精神和範圍 〇 (五)圖式簡單說明 根據下面參考相關附圖之優選實施例的說明,本發明 上述的和其他的目的與特徵將會變得更淸楚,其中: 第1 A圖爲在自行對準接觸(S A C )蝕刻製程之後,所得 到之傳統硼磷矽酸玻璃(BPS G)層的剖面掃瞄式電子顯微鏡 (SEM)照片。 第1 B圖爲在S AC蝕刻製程之後所得到之傳統旋佈玻 璃(SOG)層的剖面SEM照片。 第2 A圖爲在S A C蝕刻製程和濕式淸洗製程之後所得 到之傳統BPSG層的橫截面SEM照片。 第2B圖爲在SAC蝕刻製程和濕式淸洗製程之後所得 到之傳統SOG層的橫截面SEM照片。 200534389 第3 A圖爲在栓形成製程之後所得到之傳統b p S G層的 剖面SEM照片。 第3 B圖爲在栓形成製程之後所得到之傳統s O G層的 剖面SEM照片。 第4圖爲根據本發明優選實施例,在用以形成平台栓 接觸之SAC蝕刻製程之後所得到之半導體元件的佈局圖。 第5 A圖到第5 E圖爲根據本發明優選實施例,用以形 成半導體元件之方法的橫截面圖。 主要元件符號說明 50 基 板 5 1 閘 極 絕 緣 層 52 閘 極 導 電 層 5 3 閘 極 硬 式 遮 罩 54 鈾 刻 停 止 層 5 5 可 流 動 絕 緣 層 55 A 製 成 圖 案 的 可 流動絕緣層 56 光 阻 圖 案 57 接 觸 孔 洞 5 8 第 一 障 壁 層 5 8 A 剩 餘 第 一 障 壁 層 59 第 二 障 壁 層 5 9 A 剩 餘 第 二 障 壁 層 60 栓 G 1 〜G5 閘 極 電 極 -18-

Claims (1)

  1. 200534389 十、申請專利範圍: 1 · 一種半導體元件之製造方法,包含下列步驟: 在基板上形成許多導電結構; 然後在許多導電結構上形成蝕刻停止層和可流動絕 緣層; 在可流動絕緣層上形成光阻圖案; 藉由使用光阻圖案當作鈾刻遮罩,蝕刻可流動絕緣 層,而形成許多接觸孔洞,因此會曝露出部分的蝕刻停 止層; 在接觸孔洞上形成至少一個障壁層; 移除該至少一個障壁層和位在接觸孔洞的各底部之 蝕刻停止層,藉以曝露出基板;及 淸洗接觸孔洞。 2 .如申請專利範圍第1項之方法,其中形成至少一個障壁 層之步驟包含下列步驟: 在接觸孔洞上形成由氮化物系材料製成之第一障壁 層;及 在第一障壁層上形成由氧化物系材料製成之第二障 壁層。 3 .如申請專利範圍第2項之方法’其中第〜障壁層和第二 障壁層係採用電漿輔助式化學氣相沉積(PECVD)法形成 〇 4 .如申請專利範圔第2項之方法’其中第〜障壁層具有範 圍約從20A到150A之厚度。 -19- 200534389 5 .如申請專利範圍第1項之方法,在淸洗接觸孔洞的步驟 之後,還包含形成各自電性連接曝露基板之許多检的步 驟。 6 .如申請專利範圍第5項之方法,其中形成許多栓的步驟 包含下列步驟: 形成電性連接曝露基板之導電材料; 藉由執行回蝕刻製程,移除部分的導電材料;及 形成許多栓。 7 .如申請專利範圍第6項之方法,其中形成導電材料的步 驟係採用將導電材料沉積進入許多接觸孔洞之沉積法進 行。 8 .如申請專利範圍第6項之方法,其中形成導電材料的步 驟係採用自曝露基板成長栓材料之選擇性磊晶成長法進 行。 9 .如申請專利範圍第1項之方法,其中光阻圖案具有自T 型、線型和孔洞型當中選擇之型式。 1 0 .如申請專利範圍第1項之方法’其中導電結構係用以形 成閘極結構之圖案。 1 1 ·如申請專利範圍第1項之方法’其中導電結構係用以形 成位元線結構之圖案。 1 2 .如申請專利範圍第1項之方法,其中導電結構係用以形 成金屬線之圖案。 1 3 .如申請專利範圍第1項之方法,其中形成許多接觸孔洞 的步驟係採用自行對準蝕刻製程進行。 -20- 200534389 1 4 .如申請專利範圍第1項之方法’其中移除触刻停止層的 步驟係藉由執行整體蝕刻製程進行。 1 5 .如申請專利範圍第1項之方法’其中可流動絕緣層係旋 佈玻璃(SOG)層。 1 6 .如申請專利範圍第1項之方法,其中可流動絕緣層係先 進平坦化層(APL)。 1 7 .如申請專利範圍第1 5項之方法,其中若可流動絕緣層係 S Ο G層,則形成可流動絕緣層的步驟包含下列步驟: 形成S Ο G層;及 固化S Ο G層。 1 8 ·如申請專利範圍第} 7項之方法,其中固化係在約從600 到7 0 0 °C的溫度範圍下,在選擇自由水(η 2 Ο )、氧氣(Ο 2) 、氮氣(Ν〇、氫氣(HO和笑氣(Ν2〇)組成之組群的氣體環 境下進行約1 〇至6 0分鐘。
TW093117123A 2003-10-02 2004-06-15 Method for fabricating semiconductor device capable of preventing damage by wet cleaning process TWI251296B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020030068702A KR100645458B1 (ko) 2003-10-02 2003-10-02 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법

Publications (2)

Publication Number Publication Date
TW200534389A true TW200534389A (en) 2005-10-16
TWI251296B TWI251296B (en) 2006-03-11

Family

ID=34386709

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093117123A TWI251296B (en) 2003-10-02 2004-06-15 Method for fabricating semiconductor device capable of preventing damage by wet cleaning process

Country Status (5)

Country Link
US (1) US6995056B2 (zh)
JP (1) JP4538272B2 (zh)
KR (1) KR100645458B1 (zh)
CN (1) CN100565818C (zh)
TW (1) TWI251296B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US9257302B1 (en) 2004-03-25 2016-02-09 Novellus Systems, Inc. CVD flowable gap fill
US8164141B2 (en) 2005-10-06 2012-04-24 United Microelectronics Corp. Opening structure with sidewall of an opening covered with a dielectric thin film
US7825034B2 (en) * 2005-10-06 2010-11-02 United Microelectronics Corp. Method of fabricating openings and contact holes
US8236702B2 (en) * 2005-10-06 2012-08-07 United Microelectronics Corp. Method of fabricating openings and contact holes
KR100818708B1 (ko) * 2006-08-18 2008-04-01 주식회사 하이닉스반도체 표면 세정을 포함하는 반도체소자 제조방법
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
KR100909757B1 (ko) * 2007-10-31 2009-07-29 주식회사 하이닉스반도체 반도체 소자의 층간절연막 형성 방법
TWI452419B (zh) * 2008-01-28 2014-09-11 Az Electronic Mat Ip Japan Kk 細微圖案光罩及其製造方法、及使用其之細微圖案形成方法
US20090253081A1 (en) * 2008-04-02 2009-10-08 David Abdallah Process for Shrinking Dimensions Between Photoresist Pattern Comprising a Pattern Hardening Step
US20090253080A1 (en) * 2008-04-02 2009-10-08 Dammel Ralph R Photoresist Image-Forming Process Using Double Patterning
US20100040838A1 (en) * 2008-08-15 2010-02-18 Abdallah David J Hardmask Process for Forming a Reverse Tone Image
US8455176B2 (en) 2008-11-12 2013-06-04 Az Electronic Materials Usa Corp. Coating composition
JP4886021B2 (ja) * 2008-12-16 2012-02-29 エルピーダメモリ株式会社 半導体装置及びその製造方法
US20100183851A1 (en) * 2009-01-21 2010-07-22 Yi Cao Photoresist Image-forming Process Using Double Patterning
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
KR101078732B1 (ko) * 2009-06-24 2011-11-01 주식회사 하이닉스반도체 반도체 소자의 제조방법
CN102005412B (zh) * 2009-09-03 2012-12-12 中芯国际集成电路制造(上海)有限公司 接触孔的形成方法和接触插塞的形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8685867B1 (en) * 2010-12-09 2014-04-01 Novellus Systems, Inc. Premetal dielectric integration process
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US8846536B2 (en) 2012-03-05 2014-09-30 Novellus Systems, Inc. Flowable oxide film with tunable wet etch rate
TWI473206B (zh) * 2012-07-03 2015-02-11 Powerchip Technology Corp 接觸窗的形成方法
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US9349939B2 (en) * 2014-05-23 2016-05-24 Qualcomm Incorporated Etch-resistant protective coating for a magnetic tunnel junction device
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5356834A (en) * 1992-03-24 1994-10-18 Kabushiki Kaisha Toshiba Method of forming contact windows in semiconductor devices
US5897372A (en) * 1995-11-01 1999-04-27 Micron Technology, Inc. Formation of a self-aligned integrated circuit structure using silicon-rich nitride as a protective layer
US5814553A (en) * 1996-05-09 1998-09-29 United Microelectronics Corp. Method of fabricating self-align contact window with silicon nitride side wall
JP3449137B2 (ja) * 1996-11-08 2003-09-22 ソニー株式会社 半導体装置の製造方法
US6136700A (en) * 1996-12-20 2000-10-24 Texas Instruments Incorporated Method for enhancing the performance of a contact
US6010935A (en) * 1997-08-21 2000-01-04 Micron Technology, Inc. Self aligned contacts
US6165880A (en) * 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
JP3532134B2 (ja) * 2000-01-31 2004-05-31 シャープ株式会社 半導体装置の製造方法
KR100426811B1 (ko) * 2001-07-12 2004-04-08 삼성전자주식회사 셀프얼라인 콘택을 갖는 반도체 소자 및 그의 제조방법
US6436841B1 (en) * 2001-09-10 2002-08-20 Taiwan Semiconductor Manufacturing Company Selectivity oxide-to-oxynitride etch process using a fluorine containing gas, an inert gas and a weak oxidant
US6861751B2 (en) * 2002-12-09 2005-03-01 Integrated Device Technology, Inc. Etch stop layer for use in a self-aligned contact etch
US6841396B2 (en) * 2003-05-19 2005-01-11 Texas Instruments Incorporated VIA0 etch process for FRAM integration
US7291550B2 (en) * 2004-02-13 2007-11-06 Chartered Semiconductor Manufacturing Ltd. Method to form a contact hole

Also Published As

Publication number Publication date
CN100565818C (zh) 2009-12-02
KR20050032750A (ko) 2005-04-08
CN1606138A (zh) 2005-04-13
KR100645458B1 (ko) 2006-11-13
JP2005117016A (ja) 2005-04-28
US20050074965A1 (en) 2005-04-07
JP4538272B2 (ja) 2010-09-08
US6995056B2 (en) 2006-02-07
TWI251296B (en) 2006-03-11

Similar Documents

Publication Publication Date Title
TWI251296B (en) Method for fabricating semiconductor device capable of preventing damage by wet cleaning process
US6972262B2 (en) Method for fabricating semiconductor device with improved tolerance to wet cleaning process
US7429637B2 (en) Compositions including perhydro-polysilazane used in a semiconductor manufacturing process and methods of manufacturing semiconductor devices using the same
US7365000B2 (en) Method for fabricating semiconductor device
JP2008305974A (ja) 酸化膜形成用塗布組成物およびそれを用いた半導体装置の製造方法
TWI250579B (en) Method for fabricating semiconductor device
US7575997B2 (en) Method for forming contact hole of semiconductor device
TWI287258B (en) Method for fabricating semiconductor device
KR20070040595A (ko) 반도체 소자의 콘택홀 형성 방법
US20060003571A1 (en) Method for forming contact hole in semiconductor device
JP2004006708A (ja) 半導体装置の製造方法
CN109755175B (zh) 互连结构及其形成方法
KR100603703B1 (ko) 포토 레지스트 제거방법 및 이를 이용한 반도체 소자의금속배선 형성방법
KR101073126B1 (ko) 습식 세정에 의한 어택을 방지할 수 있는 반도체 장치제조 방법
KR100764452B1 (ko) 반도체 소자 및 이의 제조 방법
KR20100026223A (ko) 반도체 장치 제조방법
KR100910868B1 (ko) 반도체소자 제조 방법
JP2012079792A (ja) 半導体装置の製造方法
KR20060135222A (ko) 반도체 소자의 제조방법
KR20060077493A (ko) 반도체 소자의 비트라인 형성방법
KR20040001224A (ko) 반도체 소자의 소자분리막 제조방법
KR20050117108A (ko) 반도체 소자의 콘택홀 형성 방법
KR20070002799A (ko) 반도체 소자 제조 방법
KR20050055324A (ko) 반도체 소자의 배선 형성방법
KR20050059822A (ko) 도전플러그용 콘택 형성방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees