SG11201600372VA - Stable metal compounds as hardmasks and filling materials, their compositions and methods of use - Google Patents

Stable metal compounds as hardmasks and filling materials, their compositions and methods of use

Info

Publication number
SG11201600372VA
SG11201600372VA SG11201600372VA SG11201600372VA SG11201600372VA SG 11201600372V A SG11201600372V A SG 11201600372VA SG 11201600372V A SG11201600372V A SG 11201600372VA SG 11201600372V A SG11201600372V A SG 11201600372VA SG 11201600372V A SG11201600372V A SG 11201600372VA
Authority
SG
Singapore
Prior art keywords
hardmasks
compositions
methods
metal compounds
filling materials
Prior art date
Application number
SG11201600372VA
Other languages
English (en)
Inventor
Huirong Yao
Salem K Mullen
Elizabeth Wolfer
Douglas Mckenzie
Joonyeon Cho
Munirathna Padmanaban
Original Assignee
Az Electronic Materials Luxembourg S À R L
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Az Electronic Materials Luxembourg S À R L filed Critical Az Electronic Materials Luxembourg S À R L
Publication of SG11201600372VA publication Critical patent/SG11201600372VA/en

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09DCOATING COMPOSITIONS, e.g. PAINTS, VARNISHES OR LACQUERS; FILLING PASTES; CHEMICAL PAINT OR INK REMOVERS; INKS; CORRECTING FLUIDS; WOODSTAINS; PASTES OR SOLIDS FOR COLOURING OR PRINTING; USE OF MATERIALS THEREFOR
    • C09D185/00Coating compositions based on macromolecular compounds obtained by reactions forming in the main chain of the macromolecule a linkage containing atoms other than silicon, sulfur, nitrogen, oxygen, and carbon; Coating compositions based on derivatives of such polymers
    • CCHEMISTRY; METALLURGY
    • C07ORGANIC CHEMISTRY
    • C07FACYCLIC, CARBOCYCLIC OR HETEROCYCLIC COMPOUNDS CONTAINING ELEMENTS OTHER THAN CARBON, HYDROGEN, HALOGEN, OXYGEN, NITROGEN, SULFUR, SELENIUM OR TELLURIUM
    • C07F7/00Compounds containing elements of Groups 4 or 14 of the Periodic Table
    • C07F7/02Silicon compounds
    • C07F7/08Compounds having one or more C—Si linkages
    • CCHEMISTRY; METALLURGY
    • C08ORGANIC MACROMOLECULAR COMPOUNDS; THEIR PREPARATION OR CHEMICAL WORKING-UP; COMPOSITIONS BASED THEREON
    • C08GMACROMOLECULAR COMPOUNDS OBTAINED OTHERWISE THAN BY REACTIONS ONLY INVOLVING UNSATURATED CARBON-TO-CARBON BONDS
    • C08G77/00Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule
    • C08G77/48Macromolecular compounds obtained by reactions forming a linkage containing silicon with or without sulfur, nitrogen, oxygen or carbon in the main chain of the macromolecule in which at least two but not all the silicon atoms are connected by linkages other than oxygen atoms
    • C08G77/58Metal-containing linkages
    • CCHEMISTRY; METALLURGY
    • C11ANIMAL OR VEGETABLE OILS, FATS, FATTY SUBSTANCES OR WAXES; FATTY ACIDS THEREFROM; DETERGENTS; CANDLES
    • C11DDETERGENT COMPOSITIONS; USE OF SINGLE SUBSTANCES AS DETERGENTS; SOAP OR SOAP-MAKING; RESIN SOAPS; RECOVERY OF GLYCEROL
    • C11D1/00Detergent compositions based essentially on surface-active compounds; Use of these compounds as a detergent
    • C11D1/66Non-ionic compounds
    • C11D1/72Ethers of polyoxyalkylene glycols
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Medicinal Chemistry (AREA)
  • Polymers & Plastics (AREA)
  • Health & Medical Sciences (AREA)
  • Life Sciences & Earth Sciences (AREA)
  • Wood Science & Technology (AREA)
  • Materials Engineering (AREA)
  • Oil, Petroleum & Natural Gas (AREA)
  • Organic Low-Molecular-Weight Compounds And Preparation Thereof (AREA)
  • Materials For Photolithography (AREA)
  • Polymers With Sulfur, Phosphorus Or Metals In The Main Chain (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Compositions Of Macromolecular Compounds (AREA)
SG11201600372VA 2013-08-30 2014-08-20 Stable metal compounds as hardmasks and filling materials, their compositions and methods of use SG11201600372VA (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/015,222 US9296922B2 (en) 2013-08-30 2013-08-30 Stable metal compounds as hardmasks and filling materials, their compositions and methods of use
PCT/EP2014/067749 WO2015028371A1 (en) 2013-08-30 2014-08-20 Stable metal compounds as hardmasks and filling materials, their compositions and methods of use

Publications (1)

Publication Number Publication Date
SG11201600372VA true SG11201600372VA (en) 2016-02-26

Family

ID=51359408

Family Applications (1)

Application Number Title Priority Date Filing Date
SG11201600372VA SG11201600372VA (en) 2013-08-30 2014-08-20 Stable metal compounds as hardmasks and filling materials, their compositions and methods of use

Country Status (9)

Country Link
US (1) US9296922B2 (US20080242721A1-20081002-C00053.png)
EP (1) EP3039484B1 (US20080242721A1-20081002-C00053.png)
JP (2) JP6786391B2 (US20080242721A1-20081002-C00053.png)
KR (1) KR102132509B1 (US20080242721A1-20081002-C00053.png)
CN (1) CN105492972B (US20080242721A1-20081002-C00053.png)
IL (1) IL243884A0 (US20080242721A1-20081002-C00053.png)
SG (1) SG11201600372VA (US20080242721A1-20081002-C00053.png)
TW (1) TWI642698B (US20080242721A1-20081002-C00053.png)
WO (1) WO2015028371A1 (US20080242721A1-20081002-C00053.png)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US9315636B2 (en) 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
US9201305B2 (en) 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US9418836B2 (en) 2014-01-14 2016-08-16 Az Electronic Materials (Luxembourg) S.A.R.L. Polyoxometalate and heteropolyoxometalate compositions and methods for their use
US9409793B2 (en) 2014-01-14 2016-08-09 Az Electronic Materials (Luxembourg) S.A.R.L. Spin coatable metallic hard mask compositions and processes thereof
US9583358B2 (en) 2014-05-30 2017-02-28 Samsung Electronics Co., Ltd. Hardmask composition and method of forming pattern by using the hardmask composition
KR102287343B1 (ko) 2014-07-04 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
KR102287344B1 (ko) * 2014-07-25 2021-08-06 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
US9499698B2 (en) 2015-02-11 2016-11-22 Az Electronic Materials (Luxembourg)S.A.R.L. Metal hardmask composition and processes for forming fine patterns on semiconductor substrates
KR102384226B1 (ko) 2015-03-24 2022-04-07 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴 형성방법
KR102463893B1 (ko) 2015-04-03 2022-11-04 삼성전자주식회사 하드마스크 조성물 및 이를 이용한 패턴의 형성방법
JP6688890B2 (ja) * 2015-09-29 2020-04-28 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 複合材料多層構造を作製する方法
JP2018535170A (ja) * 2015-09-29 2018-11-29 ローム アンド ハース エレクトロニック マテリアルズ エルエルシーRohm and Haas Electronic Materials LLC 黒鉛状炭素シートを作製する方法
CN116631865A (zh) * 2016-01-20 2023-08-22 应用材料公司 用于侧向硬模凹槽减小的混合碳硬模
KR20170098173A (ko) * 2016-02-19 2017-08-29 제이에스알 가부시끼가이샤 감방사선성 조성물 및 패턴 형성 방법
US10120277B2 (en) * 2016-02-19 2018-11-06 Jsr Corporation Radiation-sensitive composition and pattern-forming method
US10254650B2 (en) * 2016-06-29 2019-04-09 Honeywell International Inc. Low temperature SC1 strippable oxysilane-containing coatings
US20200002568A1 (en) 2017-03-16 2020-01-02 Merck Patent Gmbh Lithographic compositions and methods of use thereof
US20180282165A1 (en) * 2017-03-28 2018-10-04 Rohm And Haas Electronic Materials Llc Method of forming a multilayer structure
US11034847B2 (en) 2017-07-14 2021-06-15 Samsung Electronics Co., Ltd. Hardmask composition, method of forming pattern using hardmask composition, and hardmask formed from hardmask composition
KR102433666B1 (ko) 2017-07-27 2022-08-18 삼성전자주식회사 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
KR102486388B1 (ko) 2017-07-28 2023-01-09 삼성전자주식회사 그래핀 양자점의 제조방법, 상기 제조방법에 따라 얻어진 그래핀 양자점을 포함한 하드마스크 조성물, 이를 이용한 패턴의 형성방법 및 상기 하드마스크 조성물을 이용하여 형성된 하드마스크
TWI755564B (zh) 2017-09-06 2022-02-21 德商馬克專利公司 含有旋轉塗佈無機氧化物的組合物、製造電子裝置之方法以及在矽基板上塗佈硬遮罩組合物之方法
CN110172155A (zh) * 2019-05-27 2019-08-27 武汉华星光电半导体显示技术有限公司 硬化层材料、硬化层材料的制备方法及显示装置
JP2022540086A (ja) 2019-07-08 2022-09-14 メルク パテント ゲゼルシャフト ミット ベシュレンクテル ハフツング 洗浄剤並びにエッジ保護層及び残留金属ハードマスク成分を除去するためのそれの使用
US20210109451A1 (en) * 2019-10-11 2021-04-15 Merck Patent Gmbh Spin-on metal oxide materials of high etch resistance useful in image reversal technique and related semiconductor manufacturing processes
TWI817073B (zh) * 2020-01-27 2023-10-01 美商應用材料股份有限公司 極紫外光遮罩坯體硬遮罩材料
JP2024068637A (ja) 2022-11-08 2024-05-20 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法
JP2024089633A (ja) 2022-12-21 2024-07-03 信越化学工業株式会社 金属含有膜形成用重合体、金属含有膜形成用組成物、及びパターン形成方法
JP2024091495A (ja) 2022-12-22 2024-07-04 信越化学工業株式会社 金属含有膜形成用化合物、金属含有膜形成用組成物、及びパターン形成方法
EP4398037A1 (en) * 2023-01-06 2024-07-10 Shin-Etsu Chemical Co., Ltd. Compound for forming metal-containing film, composition for forming metal-containing film, and patterning process
EP4398036A1 (en) * 2023-01-06 2024-07-10 Shin-Etsu Chemical Co., Ltd. Compound for forming metal-containing film, composition for forming metal-containing film, and patterning process

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3035071A (en) * 1957-06-24 1962-05-15 Du Pont Titanium acylate silicone copolymers
US3474054A (en) 1966-09-13 1969-10-21 Permalac Corp The Surface coating compositions containing pyridine salts or aromatic sulfonic acids
US3625934A (en) * 1968-07-02 1971-12-07 Jacobus Rinse Oligomers of mixed tetravalent element oxides
US3758269A (en) * 1971-10-12 1973-09-11 Sybron Corp Anionically modified nylon in a jute backed carpet cation dyes and long chain alkyl quaternary ammonium salt applied to
US4251665A (en) 1978-05-22 1981-02-17 King Industries, Inc. Aromatic sulfonic acid oxa-azacyclopentane adducts
US4200729A (en) 1978-05-22 1980-04-29 King Industries, Inc Curing amino resins with aromatic sulfonic acid oxa-azacyclopentane adducts
US4347347A (en) * 1979-06-28 1982-08-31 Ube Industries, Ltd. Crosslinked organometallic block copolymers and process for production thereof
JPS56159223A (en) * 1980-05-13 1981-12-08 Seishi Yajima Production of heat-resistant compound
US4416789A (en) * 1982-02-01 1983-11-22 Rca Corporation High density information disc lubricants
US4491628A (en) 1982-08-23 1985-01-01 International Business Machines Corporation Positive- and negative-working resist compositions with acid generating photoinitiator and polymer with acid labile groups pendant from polymer backbone
US4529766A (en) * 1984-06-08 1985-07-16 The B. F. Goodrich Company Carboxylated rubber composition containing scorch inhibitor
JPH0627270B2 (ja) * 1986-06-03 1994-04-13 日本曹達株式会社 アルコキシチタン系表面処理剤
JPS6356529A (ja) 1986-08-27 1988-03-11 Nissan Chem Ind Ltd 新規チタノシロキサン重合体の製造法
US5178989A (en) * 1989-07-21 1993-01-12 Board Of Regents, The University Of Texas System Pattern forming and transferring processes
JPH03138922A (ja) 1989-10-24 1991-06-13 Matsushita Electric Ind Co Ltd 微細パターン形成方法
DE69125634T2 (de) 1990-01-30 1998-01-02 Wako Pure Chem Ind Ltd Chemisch verstärktes Photolack-Material
US5187019A (en) 1991-09-06 1993-02-16 King Industries, Inc. Latent catalysts
JPH0632756A (ja) 1992-07-14 1994-02-08 Ube Ind Ltd ビス(ヒドロキシフェニル)メタン類の製造方法
US5563228A (en) * 1994-02-25 1996-10-08 Dow Corning Asia, Ltd. Method for the preparation of polyheterosiloxanes
JP3542156B2 (ja) * 1994-02-25 2004-07-14 ダウ コーニング アジア株式会社 有機溶媒に可溶なポリチタノシロキサンの製造方法
US5843624A (en) 1996-03-08 1998-12-01 Lucent Technologies Inc. Energy-sensitive resist material and a process for device fabrication using an energy-sensitive resist material
US5772978A (en) 1996-04-24 1998-06-30 Minnesota Mining And Manufacturing Company Process for producing tungsten oxide
US6808859B1 (en) 1996-12-31 2004-10-26 Hyundai Electronics Industries Co., Ltd. ArF photoresist copolymers
US5879859A (en) 1997-07-16 1999-03-09 International Business Machines Corporation Strippable photoimageable compositions
JP2000010293A (ja) 1998-06-17 2000-01-14 Jsr Corp 反射防止膜形成用組成物および反射防止膜
US6849377B2 (en) 1998-09-23 2005-02-01 E. I. Du Pont De Nemours And Company Photoresists, polymers and processes for microlithography
US6790587B1 (en) 1999-05-04 2004-09-14 E. I. Du Pont De Nemours And Company Fluorinated polymers, photoresists and processes for microlithography
US6348299B1 (en) 1999-07-12 2002-02-19 International Business Machines Corporation RIE etch resistant nonchemically amplified resist composition and use thereof
WO2001098834A1 (fr) 2000-06-21 2001-12-27 Asahi Glass Company, Limited Composition de reserve
US6242156B1 (en) 2000-06-28 2001-06-05 Gary Ganghui Teng Lithographic plate having a conformal radiation-sensitive layer on a rough substrate
US6447980B1 (en) 2000-07-19 2002-09-10 Clariant Finance (Bvi) Limited Photoresist composition for deep UV and process thereof
US20020155389A1 (en) 2000-10-24 2002-10-24 Bharath Rangarajan Inverse resist coating process
CN1221861C (zh) 2001-02-09 2005-10-05 旭硝子株式会社 光致抗蚀剂组合物
TW591058B (en) 2001-04-09 2004-06-11 Sekisui Chemical Co Ltd Photoreactive composition
US6723488B2 (en) 2001-11-07 2004-04-20 Clariant Finance (Bvi) Ltd Photoresist composition for deep UV radiation containing an additive
US7455955B2 (en) 2002-02-27 2008-11-25 Brewer Science Inc. Planarization method for multi-layer lithography processing
US6740469B2 (en) 2002-06-25 2004-05-25 Brewer Science Inc. Developer-soluble metal alkoxide coatings for microelectronic applications
US6872506B2 (en) 2002-06-25 2005-03-29 Brewer Science Inc. Wet-developable anti-reflective compositions
JP2004179254A (ja) 2002-11-25 2004-06-24 Renesas Technology Corp 半導体装置の製造方法
US20040171743A1 (en) 2003-01-21 2004-09-02 Terry Brewer, Ph.D. Hybrid organic-inorganic polymer coatings with high refractive indices
EP1832621B1 (en) 2003-03-14 2017-03-08 Nippon Shokubai Co.,Ltd. Method of surface crosslinking a water-absorbing resin powder
CN100548576C (zh) 2003-04-25 2009-10-14 Jsr株式会社 抛光垫和化学机械抛光方法
DE10352139A1 (de) * 2003-11-04 2005-06-09 Basell Polyolefine Gmbh Organoübergangsmetallverbindung, Biscyclopentadienylligandsystem und Verfahren zur Herstellung von Polyolefinen
JP4131864B2 (ja) 2003-11-25 2008-08-13 東京応化工業株式会社 化学増幅型ポジ型感光性熱硬化性樹脂組成物、硬化物の形成方法、及び機能素子の製造方法
DE602004026635D1 (de) 2003-12-26 2010-05-27 Nissan Chemical Ind Ltd Zusammensetzung zur bildung eines nitridbeschichtungsfilms für eine hartmaske
JP4620967B2 (ja) 2004-04-26 2011-01-26 太陽ホールディングス株式会社 永久穴埋め用熱硬化性樹脂組成物
ITPG20040013A1 (it) * 2004-04-30 2004-07-30 Fuma Tech Gmbh Soluzioni organiche di precursori di fosfati e pirofosfati di metalli tetravalenti e loro impiego per la modificazione di elettrodi e per la preparazione di membrane composite per celle a combustibile operanti a temperature >900 centigradi e/o a bass
JP4461901B2 (ja) 2004-05-11 2010-05-12 Tdk株式会社 ホログラム記録材料及びホログラム記録媒体
KR101001441B1 (ko) * 2004-08-17 2010-12-14 삼성전자주식회사 유무기 금속 하이브리드 물질 및 이를 포함하는 유기절연체 조성물
JP4811757B2 (ja) 2004-09-30 2011-11-09 独立行政法人産業技術総合研究所 メソポーラス金属酸化物複合光導波路センサー、その製造方法及びそれを用いたガスセンサー
US7563549B2 (en) 2005-05-20 2009-07-21 Xerox Corporation Imaging member
EP1892089B1 (en) * 2005-06-03 2019-05-29 Kuraray Co., Ltd. Gas barrier laminate, method for producing same and package body using same
KR100666477B1 (ko) 2005-06-16 2007-01-11 한국과학기술연구원 산화티타늄 나노로드 및 그의 제조방법
US7326442B2 (en) 2005-07-14 2008-02-05 International Business Machines Corporation Antireflective composition and process of making a lithographic structure
JP4437226B2 (ja) 2005-08-30 2010-03-24 国立大学法人 新潟大学 光触媒膜の製造方法
JP4970271B2 (ja) 2005-09-13 2012-07-04 新日鐵化学株式会社 熱硬化性樹脂組成物
JP4553835B2 (ja) 2005-12-14 2010-09-29 信越化学工業株式会社 反射防止膜材料、及びこれを用いたパターン形成方法、基板
KR20090055025A (ko) 2006-08-29 2009-06-01 제이에스알 가부시끼가이샤 감광성 절연 수지 조성물 및 그의 경화물
US8168372B2 (en) 2006-09-25 2012-05-01 Brewer Science Inc. Method of creating photolithographic structures with developer-trimmed hard mask
JP4204611B2 (ja) 2006-09-25 2009-01-07 信越化学工業株式会社 フォトマスクブランクの製造方法
US7416834B2 (en) 2006-09-27 2008-08-26 Az Electronic Materials Usa Corp. Antireflective coating compositions
US7759046B2 (en) * 2006-12-20 2010-07-20 Az Electronic Materials Usa Corp. Antireflective coating compositions
US8039201B2 (en) 2007-11-21 2011-10-18 Az Electronic Materials Usa Corp. Antireflective coating composition and process thereof
JP5625210B2 (ja) * 2007-12-27 2014-11-19 ナガセケムテックス株式会社 硬化性組成物
JP5101541B2 (ja) 2008-05-15 2012-12-19 信越化学工業株式会社 パターン形成方法
JP5503916B2 (ja) 2008-08-04 2014-05-28 富士フイルム株式会社 レジスト組成物及びそれを用いたパターン形成方法
WO2010021030A1 (ja) 2008-08-20 2010-02-25 富士通株式会社 レジスト増感膜形成用材料、半導体装置の製造方法、半導体装置、及び磁気ヘッド
JP5336306B2 (ja) 2008-10-20 2013-11-06 信越化学工業株式会社 レジスト下層膜形成方法、これを用いたパターン形成方法、及びレジスト下層膜材料
WO2010047109A1 (ja) 2008-10-23 2010-04-29 国立大学法人三重大学 ポリオルガノシロキサン組成物およびその硬化体
US8084186B2 (en) * 2009-02-10 2011-12-27 Az Electronic Materials Usa Corp. Hardmask process for forming a reverse tone image using polysilazane
CN102498440B (zh) 2009-09-16 2016-11-16 日产化学工业株式会社 含有具有磺酰胺基的硅的形成抗蚀剂下层膜的组合物
JP5721992B2 (ja) 2009-10-14 2015-05-20 富士フイルム株式会社 着色硬化性組成物、レジスト液、インクジェット用インク、カラーフィルタ、カラーフィルタの製造方法、固体撮像素子、液晶ディスプレイ、有機elディスプレイ、画像表示デバイス、及び色素化合物
EP2336824A1 (en) 2009-11-19 2011-06-22 Rohm and Haas Electronic Materials, L.L.C. Methods of forming electronic devices
BR112012020388A2 (pt) 2010-02-15 2016-05-10 Univ Cornell aparelho de eletrofiação para formar nanofibras, processos para preparar uma nanofibra e de eletrofiação, fibra, nanofibra, e, esteira de fibra
EP2400304A1 (en) 2010-06-22 2011-12-28 Centro de Investigación Cooperativa En Biomateriales ( CIC biomaGUNE) Method for the characterization of intermolecular interactions
JP5266294B2 (ja) 2010-11-01 2013-08-21 信越化学工業株式会社 レジスト下層膜材料及びこれを用いたパターン形成方法
KR102138449B1 (ko) 2011-01-18 2020-07-27 히타치가세이가부시끼가이샤 수지 조성물, 이것을 이용한 프리프레그, 적층판 및 인쇄 배선판
US9281207B2 (en) 2011-02-28 2016-03-08 Inpria Corporation Solution processible hardmasks for high resolution lithography
JP2012237823A (ja) 2011-05-10 2012-12-06 Konica Minolta Business Technologies Inc 電子写真感光体、それを含むプロセスカートリッジおよび画像形成装置
WO2012155264A1 (en) * 2011-05-13 2012-11-22 Greencentre Canada Group 11 mono-metallic precursor compounds and use thereof in metal deposition
US8568958B2 (en) * 2011-06-21 2013-10-29 Az Electronic Materials Usa Corp. Underlayer composition and process thereof
JP5889568B2 (ja) 2011-08-11 2016-03-22 メルク、パテント、ゲゼルシャフト、ミット、ベシュレンクテル、ハフツングMerck Patent GmbH 酸化タングステン膜形成用組成物およびそれを用いた酸化タングステン膜の製造法
US9315636B2 (en) * 2012-12-07 2016-04-19 Az Electronic Materials (Luxembourg) S.A.R.L. Stable metal compounds, their compositions and methods
JP5830048B2 (ja) * 2013-03-15 2015-12-09 信越化学工業株式会社 チタン含有レジスト下層膜形成用組成物及びパターン形成方法
US9201305B2 (en) * 2013-06-28 2015-12-01 Az Electronic Materials (Luxembourg) S.A.R.L. Spin-on compositions of soluble metal oxide carboxylates and methods of their use
US20150024522A1 (en) * 2013-07-22 2015-01-22 Rohm And Haas Electronic Materials Llc Organometal materials and process

Also Published As

Publication number Publication date
JP2016537478A (ja) 2016-12-01
EP3039484B1 (en) 2018-07-18
IL243884A0 (en) 2016-04-21
JP2021038394A (ja) 2021-03-11
JP6786391B2 (ja) 2020-11-18
TWI642698B (zh) 2018-12-01
CN105492972A (zh) 2016-04-13
JP7050137B2 (ja) 2022-04-07
WO2015028371A1 (en) 2015-03-05
CN105492972B (zh) 2019-09-06
EP3039484A1 (en) 2016-07-06
KR20160048796A (ko) 2016-05-04
US9296922B2 (en) 2016-03-29
US20150064904A1 (en) 2015-03-05
TW201527359A (zh) 2015-07-16
KR102132509B1 (ko) 2020-07-10

Similar Documents

Publication Publication Date Title
IL243884A0 (en) Stable metal compounds as a hard mask and fillers, their preparations and methods of use
IL245184A0 (en) Prostacyclin compounds, preparations and methods of using them
ZA201602461B (en) Powder coating composition
IL246252A0 (en) Diaminopyrimidyl derivative compounds, their compositions and methods of treatment
HUE048666T2 (hu) Mezõgazdasági endofita-növény kompozíciók és alkalmazási eljárások
IL265876A (en) Preparations that include 15-ohepa and methods of using them
IL243608A0 (en) Substances with antiviral activity, preparations containing them and their uses
EP2964235A4 (en) ANTIMICROBIAL-ANTIBIOFILM COMPOSITIONS AND METHODS OF USE
EP2968357A4 (en) S-IMINO-S-OXO IMINOTHIAZINE COMPOUNDS AS INHIBITORS OF THE SITE SS CLEAVING ENZYME OF THE APP, COMPOSITIONS, AND USE THEREOF
SG11201502264SA (en) Stable metal compounds, their compositions and methods of their use
EP2968356A4 (en) S-IMINO-S-OXO IMINOTHIADIAZINE COMPOUNDS AS APP-SITE CLEAVING ENZYME INHIBITORS, COMPOSITIONS, AND USE THEREOF
EP2971065A4 (en) ACTIVITY-BASED PROBE COMPOUNDS, COMPOSITIONS AND METHODS OF USE
IL239840A0 (en) Polymyxins, preparations, methods of preparation and methods of use
IL244066A0 (en) Triazolopyridine compounds, preparations and methods for their use
EP2967055A4 (en) BIOCIDAL COMPOSITIONS, METHODS OF MAKING, AND METHODS OF USE
GB201301979D0 (en) New composition and use thereof
HRP20182110T1 (hr) Sastav spojeva tiakumicina
SG11201601921RA (en) Azeotrope-like compositions of fo-e-1,3,4,4,4-pentafluoro-3-trifluoromethyl-1-butene and e-1-chloro-3,3,3-trifluoropropene and uses thereof
KR102216470B9 (ko) 천연물 조성물
EP2958972A4 (en) COMPOSITIONS USING DIFLUORMETHANE AND FLUORATE-SUBSTITUTED OLEFINES
GB201300628D0 (en) Compositions comprising 15-OHEPA and methods of using the same