SG10201908096UA - Ultrathin atomic layer deposition film accuracy thickness control - Google Patents
Ultrathin atomic layer deposition film accuracy thickness controlInfo
- Publication number
- SG10201908096UA SG10201908096UA SG10201908096UA SG10201908096UA SG 10201908096U A SG10201908096U A SG 10201908096UA SG 10201908096U A SG10201908096U A SG 10201908096UA SG 10201908096U A SG10201908096U A SG 10201908096UA
- Authority
- SG
- Singapore
- Prior art keywords
- atomic layer
- layer deposition
- thickness control
- deposition film
- accuracy thickness
- Prior art date
Links
- 238000000231 atomic layer deposition Methods 0.000 title abstract 5
- 238000000151 deposition Methods 0.000 abstract 2
- 239000007789 gas Substances 0.000 abstract 2
- 238000000034 method Methods 0.000 abstract 2
- 239000000758 substrate Substances 0.000 abstract 2
- 230000008021 deposition Effects 0.000 abstract 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
-
- H01L21/205—
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/02—Pretreatment of the material to be coated
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/40—Oxides
- C23C16/401—Oxides containing silicon
- C23C16/402—Silicon dioxide
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45534—Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
- C23C16/45548—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
- C23C16/45551—Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/52—Controlling or regulating the coating process
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/3244—Gas supply means
- H01J37/32449—Gas control, e.g. control of the gas flow
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32733—Means for moving the material to be treated
- H01J37/32743—Means for moving the material to be treated for introducing the material into processing chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32899—Multiple chambers, e.g. cluster tools
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02126—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/02164—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02296—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
- H01L21/02299—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
- H01L21/02312—Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/18—Vacuum control means
- H01J2237/182—Obtaining or maintaining desired pressure
- H01J2237/1825—Evacuating means
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/201—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated for mounting multiple objects
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/20—Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
- H01J2237/202—Movement
- H01J2237/20278—Motorised movement
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/32—Processing objects by plasma generation
- H01J2237/33—Processing objects by plasma generation characterised by the type of processing
- H01J2237/332—Coating
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32798—Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
- H01J37/32816—Pressure
- H01J37/32834—Exhausting
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Physics & Mathematics (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Physical Vapour Deposition (AREA)
Abstract
ULTRA THIN ATOMIC LAYER DEPOSITION FILM ACCURACY THICKNESS CONTROL Methods for depositing ultrathin films by atomic layer deposition with reduced wafer-to-wafer variation are provided. Methods involve exposing the substrate to soak gases including one or more gases used during a plasma exposure operation of an atomic layer deposition cycle prior to the first atomic layer deposition cycle to heat the substrate to the deposition temperature. Fig. 41
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/664,545 US10566187B2 (en) | 2015-03-20 | 2015-03-20 | Ultrathin atomic layer deposition film accuracy thickness control |
Publications (1)
Publication Number | Publication Date |
---|---|
SG10201908096UA true SG10201908096UA (en) | 2019-10-30 |
Family
ID=56925020
Family Applications (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG10201602127RA SG10201602127RA (en) | 2015-03-20 | 2016-03-18 | Ultrathin atomic layer deposition film accuracy thickness control |
SG10201908096U SG10201908096UA (en) | 2015-03-20 | 2016-03-18 | Ultrathin atomic layer deposition film accuracy thickness control |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
SG10201602127RA SG10201602127RA (en) | 2015-03-20 | 2016-03-18 | Ultrathin atomic layer deposition film accuracy thickness control |
Country Status (5)
Country | Link |
---|---|
US (5) | US10566187B2 (en) |
KR (2) | KR20160113014A (en) |
CN (1) | CN105990108B (en) |
SG (2) | SG10201602127RA (en) |
TW (1) | TWI718131B (en) |
Families Citing this family (359)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9837271B2 (en) | 2014-07-18 | 2017-12-05 | Asm Ip Holding B.V. | Process for forming silicon-filled openings with a reduced occurrence of voids |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (en) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing thin film |
KR102263121B1 (en) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor device and manufacuring method thereof |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) * | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
WO2017052905A1 (en) * | 2015-09-22 | 2017-03-30 | Applied Materials, Inc. | Apparatus and method for selective deposition |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9786491B2 (en) | 2015-11-12 | 2017-10-10 | Asm Ip Holding B.V. | Formation of SiOCN thin films |
US10388515B2 (en) * | 2015-11-16 | 2019-08-20 | Taiwan Semiconductor Manufacturing Company, Ltd. | Treatment to control deposition rate |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
DE102016200506B4 (en) * | 2016-01-17 | 2024-05-02 | Robert Bosch Gmbh | Etching device and etching process |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US9644271B1 (en) * | 2016-05-13 | 2017-05-09 | Lam Research Corporation | Systems and methods for using electrical asymmetry effect to control plasma process space in semiconductor fabrication |
KR102592471B1 (en) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming metal interconnection and method of fabricating semiconductor device using the same |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
KR102354490B1 (en) | 2016-07-27 | 2022-01-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) * | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10703915B2 (en) * | 2016-09-19 | 2020-07-07 | Versum Materials Us, Llc | Compositions and methods for the deposition of silicon oxide films |
KR102698026B1 (en) * | 2016-09-28 | 2024-08-21 | 삼성전자주식회사 | Method for forming dielectric layer and Method for fabricating semiconductor device |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US11735413B2 (en) * | 2016-11-01 | 2023-08-22 | Versum Materials Us, Llc | Precursors and flowable CVD methods for making low-k films to fill surface features |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (en) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (en) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10242866B2 (en) * | 2017-03-08 | 2019-03-26 | Lam Research Corporation | Selective deposition of silicon nitride on silicon oxide using catalytic control |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102324630B1 (en) | 2017-03-29 | 2021-11-10 | 삼성전자주식회사 | Method of manufacturing integrated circuit device |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
US10460932B2 (en) | 2017-03-31 | 2019-10-29 | Asm Ip Holding B.V. | Semiconductor device with amorphous silicon filled gaps and methods for forming |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
CN107022753B (en) * | 2017-04-19 | 2019-09-27 | 同济大学 | A kind of atomic layer deposition reaction unit and via material surface film depositing operation |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
CN110546302B (en) * | 2017-05-05 | 2022-05-27 | Asm Ip 控股有限公司 | Plasma enhanced deposition method for controlled formation of oxygen-containing films |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
WO2018213018A1 (en) | 2017-05-16 | 2018-11-22 | Asm Ip Holding B.V. | Selective peald of oxide on dielectric |
KR102271771B1 (en) | 2017-05-25 | 2021-07-01 | 삼성전자주식회사 | Method of forming thin film and method of manufacturing integrated circuit device |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
JP6805347B2 (en) * | 2017-07-13 | 2020-12-23 | 株式会社Kokusai Electric | Semiconductor device manufacturing methods, substrate processing devices and programs |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (en) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7206265B2 (en) | 2017-11-27 | 2023-01-17 | エーエスエム アイピー ホールディング ビー.ブイ. | Equipment with a clean mini-environment |
CN111316417B (en) | 2017-11-27 | 2023-12-22 | 阿斯莫Ip控股公司 | Storage device for storing wafer cassettes for use with batch ovens |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10319586B1 (en) * | 2018-01-02 | 2019-06-11 | Micron Technology, Inc. | Methods comprising an atomic layer deposition sequence |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (en) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | Method for depositing gap filling layer by plasma auxiliary deposition |
TWI799494B (en) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | Deposition method |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (en) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | Method for depositing ruthenium-containing films on substrates by cyclical deposition processes |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
CN111954922A (en) * | 2018-04-09 | 2020-11-17 | 朗姆研究公司 | Modifying hydrophobicity of wafer surfaces using organosilicon precursors |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
KR102709511B1 (en) | 2018-05-08 | 2024-09-24 | 에이에스엠 아이피 홀딩 비.브이. | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
TW202349473A (en) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US10774422B2 (en) * | 2018-06-01 | 2020-09-15 | Asm Ip Holding B.V. | Systems and methods for controlling vapor phase processing |
TWI840362B (en) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
TW202405221A (en) | 2018-06-27 | 2024-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
JP2021529254A (en) | 2018-06-27 | 2021-10-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | Periodic deposition methods for forming metal-containing materials and films and structures containing metal-containing materials |
KR102686758B1 (en) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10388513B1 (en) * | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10755922B2 (en) * | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
CN110858541B (en) * | 2018-08-24 | 2022-05-10 | 中芯国际集成电路制造(上海)有限公司 | Semiconductor structure and forming method thereof |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (en) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (en) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | Substrate holding apparatus, system comprising the same and method of using the same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (en) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US12020944B2 (en) | 2018-11-05 | 2024-06-25 | Lam Research Corporation | Method for etching an etch layer |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (en) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and system for forming device structures using selective deposition of gallium nitride - Patents.com |
TW202405220A (en) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
TWI756590B (en) | 2019-01-22 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for topologically selective film formation of silicon oxide |
US11482533B2 (en) | 2019-02-20 | 2022-10-25 | Asm Ip Holding B.V. | Apparatus and methods for plug fill deposition in 3-D NAND applications |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
WO2020171114A1 (en) * | 2019-02-20 | 2020-08-27 | パナソニックIpマネジメント株式会社 | Film production method, film production device, and electrode foil production method |
TWI845607B (en) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
TW202044325A (en) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus |
TWI842826B (en) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR20200108248A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME |
KR20200108243A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
KR20200108242A (en) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
JP7202230B2 (en) | 2019-03-20 | 2023-01-11 | 株式会社Screenホールディングス | Substrate processing method and substrate processing apparatus |
JP2020167398A (en) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | Door opener and substrate processing apparatus provided therewith |
KR20200116855A (en) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
JP2020188255A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
JP2020188254A (en) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | Wafer boat handling device, vertical batch furnace, and method |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system including a gas detector |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP7499079B2 (en) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | Plasma device using coaxial waveguide and substrate processing method |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
KR20210010820A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (en) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming topology-controlled amorphous carbon polymer film |
KR20210010817A (en) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of Forming Topology-Controlled Amorphous Carbon Polymer Film |
CN112309843A (en) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | Selective deposition method for achieving high dopant doping |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (en) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | Liquid level sensor for chemical source container |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
KR20210029090A (en) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
KR20210029663A (en) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
TWI846953B (en) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
KR20210042810A (en) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
KR20210043460A (en) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (en) * | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (en) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
KR20210050453A (en) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (en) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
CN112951697A (en) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
CN112885693A (en) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
JP7527928B2 (en) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210078405A (en) | 2019-12-17 | 2021-06-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
JP2021111783A (en) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | Channeled lift pin |
JP2021109175A (en) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | Gas supply assembly, components thereof, and reactor system including the same |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (en) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming high aspect ratio features |
US20210225634A1 (en) * | 2020-01-17 | 2021-07-22 | Asm Ip Holding B.V. | FORMATION OF SiCN THIN FILMS |
KR102675856B1 (en) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
TW202130846A (en) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures including a vanadium or indium layer |
TW202146882A (en) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (en) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | System dedicated for parts cleaning |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
KR20210117157A (en) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | Method for Fabricating Layer Structure Having Target Topological Profile |
KR20210124042A (en) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
TW202146689A (en) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | Method for forming barrier layer and method for manufacturing semiconductor device |
TW202145344A (en) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus and methods for selectively etching silcon oxide films |
US20230154754A1 (en) * | 2020-04-10 | 2023-05-18 | Lam Research Corporation | Loss prevention during atomic layer deposition |
KR20210128343A (en) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
KR20210132605A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Vertical batch furnace assembly comprising a cooling gas supply |
JP2021172884A (en) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method of forming vanadium nitride-containing layer and structure comprising vanadium nitride-containing layer |
KR20210134226A (en) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
TW202147543A (en) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor processing system |
KR20210141379A (en) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
TW202146699A (en) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system |
TW202147383A (en) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus |
KR20210145078A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
TW202200837A (en) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Reaction system for forming thin film on substrate |
TW202201602A (en) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202212620A (en) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TW202217953A (en) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
TW202202649A (en) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
TW202219628A (en) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | Structures and methods for use in photolithography |
TW202204662A (en) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (en) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (en) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | Deposition method and an apparatus for depositing a silicon-containing material |
CN114293174A (en) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | Gas supply unit and substrate processing apparatus including the same |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
TW202217037A (en) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
US20220139765A1 (en) * | 2020-11-03 | 2022-05-05 | Applied Materials, Inc. | Flowable chemical vapor deposition of metal oxides |
TW202235649A (en) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for filling a gap and related systems and devices |
KR20220076343A (en) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | an injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
TW202226899A (en) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Plasma treatment device having matching box |
TW202242184A (en) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Precursor capsule, precursor vessel, vapor deposition assembly, and method of loading solid precursor into precursor vessel |
US20220270870A1 (en) * | 2021-02-12 | 2022-08-25 | Applied Materials, Inc. | Deposition of silicon-based dielectric films |
TW202300686A (en) | 2021-03-18 | 2023-01-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods of forming semiconductor device structures, semiconductor processing systems, and semiconductor device structures |
US11955333B2 (en) * | 2021-03-22 | 2024-04-09 | Applied Materials, Inc. | Methods and apparatus for processing a substrate |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Family Cites Families (496)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS4843472A (en) | 1971-10-04 | 1973-06-23 | ||
US4500563A (en) | 1982-12-15 | 1985-02-19 | Pacific Western Systems, Inc. | Independently variably controlled pulsed R.F. plasma chemical vapor processing |
CA1327338C (en) | 1987-02-02 | 1994-03-01 | Chorng-Ping Chang | Process for producing devices containing silicon nitride films |
JPH0293071A (en) | 1988-09-29 | 1990-04-03 | Toshiba Corp | Thin film formation |
JPH0311635A (en) | 1989-06-08 | 1991-01-18 | Sekiyu Sangyo Katsuseika Center | Manufacture of compound semiconductor device |
US5094984A (en) | 1990-10-12 | 1992-03-10 | Hewlett-Packard Company | Suppression of water vapor absorption in glass encapsulation |
US5344454A (en) * | 1991-07-24 | 1994-09-06 | Baxter International Inc. | Closed porous chambers for implanting tissue in a host |
US5230929A (en) * | 1992-07-20 | 1993-07-27 | Dow Corning Corporation | Plasma-activated chemical vapor deposition of fluoridated cyclic siloxanes |
TW201848B (en) | 1991-11-08 | 1993-03-11 | Advanced Micro Devices Inc | |
DE4136987A1 (en) | 1991-11-11 | 1993-05-13 | Leybold Ag | METHOD FOR SURFACE PASSIVATION OF SENSORS |
JPH05226279A (en) | 1992-02-10 | 1993-09-03 | Toshiba Corp | Manufacture of semiconductor device |
US5223443A (en) | 1992-02-19 | 1993-06-29 | Integrated Device Technology, Inc. | Method for determining wafer cleanliness |
JPH06177120A (en) | 1992-10-27 | 1994-06-24 | Sony Corp | Deposition of interlayer dielectric film |
US5932286A (en) | 1993-03-16 | 1999-08-03 | Applied Materials, Inc. | Deposition of silicon nitride thin films |
US5496608A (en) | 1993-09-22 | 1996-03-05 | Brother Kogyo Kabushiki Kaisha | Optical recording medium |
JPH09102494A (en) | 1995-10-09 | 1997-04-15 | Toshiba Corp | Protective film for semiconductor device and forming method therefor |
US6191026B1 (en) | 1996-01-09 | 2001-02-20 | Applied Materials, Inc. | Method for submicron gap filling on a semiconductor substrate |
US5593914A (en) | 1996-03-19 | 1997-01-14 | Radiant Technologies, Inc. | Method for constructing ferroelectric capacitor-like structures on silicon dioxide surfaces |
US6342277B1 (en) | 1996-08-16 | 2002-01-29 | Licensee For Microelectronics: Asm America, Inc. | Sequential chemical vapor deposition |
US6399221B1 (en) | 1996-06-25 | 2002-06-04 | Northwestern University | Organic light-emitting diodes and methods for assembly and emission control |
US6156149A (en) | 1997-05-07 | 2000-12-05 | Applied Materials, Inc. | In situ deposition of a dielectric oxide layer and anti-reflective coating |
US5670432A (en) | 1996-08-01 | 1997-09-23 | Taiwan Semiconductor Manufacturing Company, Ltd. | Thermal treatment to form a void free aluminum metal layer for a semiconductor device |
US5916365A (en) | 1996-08-16 | 1999-06-29 | Sherman; Arthur | Sequential chemical vapor deposition |
JPH1098032A (en) | 1996-09-20 | 1998-04-14 | Hitachi Ltd | Formation of thin film and thin film forming device |
US5994209A (en) | 1996-11-13 | 1999-11-30 | Applied Materials, Inc. | Methods and apparatus for forming ultra-shallow doped regions using doped silicon oxide films |
US6809421B1 (en) | 1996-12-02 | 2004-10-26 | Kabushiki Kaisha Toshiba | Multichip semiconductor device, chip therefor and method of formation thereof |
US6069058A (en) | 1997-05-14 | 2000-05-30 | United Semiconductor Corp. | Shallow trench isolation for semiconductor devices |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
US5874368A (en) | 1997-10-02 | 1999-02-23 | Air Products And Chemicals, Inc. | Silicon nitride from bis(tertiarybutylamino)silane |
US6861356B2 (en) | 1997-11-05 | 2005-03-01 | Tokyo Electron Limited | Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film |
US5856003A (en) | 1997-11-17 | 1999-01-05 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for forming pseudo buried layer for sub-micron bipolar or BiCMOS device |
US6346741B1 (en) | 1997-11-20 | 2002-02-12 | Advanced Technology Materials, Inc. | Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same |
US6100202A (en) | 1997-12-08 | 2000-08-08 | Taiwan Semiconductor Manufacturing Company | Pre deposition stabilization method for forming a void free isotropically etched anisotropically patterned doped silicate glass layer |
US6509601B1 (en) | 1998-07-31 | 2003-01-21 | Samsung Electronics Co., Ltd. | Semiconductor memory device having capacitor protection layer and method for manufacturing the same |
KR100275738B1 (en) | 1998-08-07 | 2000-12-15 | 윤종용 | Method for producing thin film using atomatic layer deposition |
US6218293B1 (en) | 1998-11-13 | 2001-04-17 | Micron Technology, Inc. | Batch processing for semiconductor wafers to form aluminum nitride and titanium aluminum nitride |
WO2000047404A1 (en) | 1999-02-12 | 2000-08-17 | Gelest, Inc. | Chemical vapor deposition of tungsten nitride |
US6200893B1 (en) | 1999-03-11 | 2001-03-13 | Genus, Inc | Radical-assisted sequential CVD |
KR100420753B1 (en) | 1999-03-17 | 2004-03-02 | 세미컨덕터300 게엠베하 운트 코 카게 | Method for filling gaps on a semiconductor wafer |
KR100273473B1 (en) | 1999-04-06 | 2000-11-15 | 이경수 | Method for forming a thin film |
AU5932500A (en) | 1999-07-22 | 2001-02-13 | Corning Incorporated | Extreme ultraviolet soft x-ray projection lithographic method and mask devices |
US6313042B1 (en) | 1999-09-03 | 2001-11-06 | Applied Materials, Inc. | Cleaning contact with successive fluorine and hydrogen plasmas |
US6576053B1 (en) | 1999-10-06 | 2003-06-10 | Samsung Electronics Co., Ltd. | Method of forming thin film using atomic layer deposition method |
FI118804B (en) | 1999-12-03 | 2008-03-31 | Asm Int | Process for making oxide films |
KR100356473B1 (en) | 1999-12-29 | 2002-10-18 | 주식회사 하이닉스반도체 | Method of forming a aluminum oxide thin film in a semiconductor device |
KR100803770B1 (en) | 2000-03-07 | 2008-02-15 | 에이에스엠 인터내셔널 엔.브이. | Graded thin films |
JP3437832B2 (en) | 2000-03-22 | 2003-08-18 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
JP2001274404A (en) | 2000-03-24 | 2001-10-05 | Toshiba Corp | Thin-film transistor and method of manufacturing the same |
US6759325B2 (en) | 2000-05-15 | 2004-07-06 | Asm Microchemistry Oy | Sealing porous structures |
US20030008070A1 (en) | 2001-06-12 | 2003-01-09 | Applied Materials,Inc | Low-resistivity tungsten from high-pressure chemical vapor deposition using metal-organic precursor |
JP2002009072A (en) | 2000-06-23 | 2002-01-11 | Tokyo Electron Ltd | Method and apparatus for forming silicon nitride film |
KR100721503B1 (en) | 2000-06-08 | 2007-05-23 | 에이에스엠지니텍코리아 주식회사 | Method for forming a thin film |
EP1292970B1 (en) | 2000-06-08 | 2011-09-28 | Genitech Inc. | Thin film forming method |
US7405158B2 (en) * | 2000-06-28 | 2008-07-29 | Applied Materials, Inc. | Methods for depositing tungsten layers employing atomic layer deposition techniques |
US7964505B2 (en) * | 2005-01-19 | 2011-06-21 | Applied Materials, Inc. | Atomic layer deposition of tungsten materials |
US7732327B2 (en) * | 2000-06-28 | 2010-06-08 | Applied Materials, Inc. | Vapor deposition of tungsten materials |
KR100444149B1 (en) * | 2000-07-22 | 2004-08-09 | 주식회사 아이피에스 | ALD thin film depositin equipment cleaning method |
US20050230047A1 (en) | 2000-08-11 | 2005-10-20 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus |
US6482726B1 (en) | 2000-10-17 | 2002-11-19 | Advanced Micro Devices, Inc. | Control trimming of hard mask for sub-100 nanometer transistor gate |
JP2002134497A (en) | 2000-10-23 | 2002-05-10 | Sony Corp | Manufacturing method for semiconductor device |
US6441491B1 (en) * | 2000-10-25 | 2002-08-27 | International Business Machines Corporation | Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same |
US6689220B1 (en) | 2000-11-22 | 2004-02-10 | Simplus Systems Corporation | Plasma enhanced pulsed layer deposition |
JP3437830B2 (en) | 2000-11-28 | 2003-08-18 | 東京エレクトロン株式会社 | Film formation method |
US6576345B1 (en) | 2000-11-30 | 2003-06-10 | Novellus Systems Inc | Dielectric films with low dielectric constants |
US6428859B1 (en) | 2000-12-06 | 2002-08-06 | Angstron Systems, Inc. | Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
KR100385947B1 (en) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | Method of forming thin film by atomic layer deposition |
US6416822B1 (en) | 2000-12-06 | 2002-07-09 | Angstrom Systems, Inc. | Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6878402B2 (en) | 2000-12-06 | 2005-04-12 | Novellus Systems, Inc. | Method and apparatus for improved temperature control in atomic layer deposition |
US20020076507A1 (en) | 2000-12-15 | 2002-06-20 | Chiang Tony P. | Process sequence for atomic layer deposition |
KR100408733B1 (en) | 2001-02-02 | 2003-12-11 | 주성엔지니어링(주) | Thin Film Deposition Method |
US6951804B2 (en) | 2001-02-02 | 2005-10-04 | Applied Materials, Inc. | Formation of a tantalum-nitride layer |
AU2002306436A1 (en) | 2001-02-12 | 2002-10-15 | Asm America, Inc. | Improved process for deposition of semiconductor films |
US6632478B2 (en) | 2001-02-22 | 2003-10-14 | Applied Materials, Inc. | Process for forming a low dielectric constant carbon-containing film |
JP4406178B2 (en) | 2001-03-28 | 2010-01-27 | 株式会社渡辺商行 | Deposition equipment |
US7005392B2 (en) | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US6610169B2 (en) | 2001-04-21 | 2003-08-26 | Simplus Systems Corporation | Semiconductor processing system and method |
US6528430B2 (en) | 2001-05-01 | 2003-03-04 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing Si2C16 and NH3 |
US6828218B2 (en) | 2001-05-31 | 2004-12-07 | Samsung Electronics Co., Ltd. | Method of forming a thin film using atomic layer deposition |
US6391803B1 (en) * | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US7098131B2 (en) | 2001-07-19 | 2006-08-29 | Samsung Electronics Co., Ltd. | Methods for forming atomic layers and thin films including tantalum nitride and devices including the same |
US8110489B2 (en) * | 2001-07-25 | 2012-02-07 | Applied Materials, Inc. | Process for forming cobalt-containing materials |
JP2005504885A (en) * | 2001-07-25 | 2005-02-17 | アプライド マテリアルズ インコーポレイテッド | Barrier formation using a novel sputter deposition method |
JP2003045864A (en) | 2001-08-02 | 2003-02-14 | Hitachi Kokusai Electric Inc | Substrate processing system |
EP1421606A4 (en) | 2001-08-06 | 2008-03-05 | Genitech Co Ltd | Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US6551893B1 (en) | 2001-11-27 | 2003-04-22 | Micron Technology, Inc. | Atomic layer deposition of capacitor dielectric |
US7081271B2 (en) | 2001-12-07 | 2006-07-25 | Applied Materials, Inc. | Cyclical deposition of refractory metal silicon nitride |
US7108771B2 (en) * | 2001-12-13 | 2006-09-19 | Advanced Technology Materials, Inc. | Method for removal of impurities in cyclic siloxanes useful as precursors for low dielectric constant thin films |
DE10208450B4 (en) | 2002-02-27 | 2004-09-16 | Infineon Technologies Ag | Process for the deposition of thin layers by means of ALD / CVD processes in connection with fast thermal processes |
US6962876B2 (en) | 2002-03-05 | 2005-11-08 | Samsung Electronics Co., Ltd. | Method for forming a low-k dielectric layer for a semiconductor device |
EP1485513A2 (en) | 2002-03-08 | 2004-12-15 | Sundew Technologies, LLC | Ald method and apparatus |
KR20030081144A (en) | 2002-04-11 | 2003-10-17 | 가부시키가이샤 히다치 고쿠사이 덴키 | Vertical semiconductor manufacturing apparatus |
US6987240B2 (en) | 2002-04-18 | 2006-01-17 | Applied Materials, Inc. | Thermal flux processing by scanning |
US7374617B2 (en) | 2002-04-25 | 2008-05-20 | Micron Technology, Inc. | Atomic layer deposition methods and chemical vapor deposition methods |
KR100468729B1 (en) | 2002-04-25 | 2005-01-29 | 삼성전자주식회사 | Method for Atomic Layer Deposition of silicon oxide film using HCD source |
US6777308B2 (en) | 2002-05-17 | 2004-08-17 | Micron Technology, Inc. | Method of improving HDP fill process |
US20040129212A1 (en) | 2002-05-20 | 2004-07-08 | Gadgil Pradad N. | Apparatus and method for delivery of reactive chemical precursors to the surface to be treated |
US7041335B2 (en) | 2002-06-04 | 2006-05-09 | Applied Materials, Inc. | Titanium tantalum nitride silicide layer |
KR100472777B1 (en) | 2002-06-26 | 2005-03-10 | 동부전자 주식회사 | Thin Film Deposition Method |
WO2004009861A2 (en) | 2002-07-19 | 2004-01-29 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
US7294582B2 (en) | 2002-07-19 | 2007-11-13 | Asm International, N.V. | Low temperature silicon compound deposition |
KR100542736B1 (en) | 2002-08-17 | 2006-01-11 | 삼성전자주식회사 | Method of forming oxide layer using atomic layer deposition method and method of forming capacitor of semiconductor device using the same |
TW200422424A (en) * | 2002-08-18 | 2004-11-01 | Asml Us Inc | Low temperature deposition of silicon oxides and oxynitrides |
US6730164B2 (en) | 2002-08-28 | 2004-05-04 | Micron Technology, Inc. | Systems and methods for forming strontium- and/or barium-containing layers |
US6967159B2 (en) | 2002-08-28 | 2005-11-22 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using organic amines |
US6794284B2 (en) | 2002-08-28 | 2004-09-21 | Micron Technology, Inc. | Systems and methods for forming refractory metal nitride layers using disilazanes |
US6784049B2 (en) | 2002-08-28 | 2004-08-31 | Micron Technology, Inc. | Method for forming refractory metal oxide layers with tetramethyldisiloxane |
US6774040B2 (en) | 2002-09-12 | 2004-08-10 | Applied Materials, Inc. | Apparatus and method for surface finishing a silicon film |
WO2004032196A2 (en) | 2002-10-03 | 2004-04-15 | Pan Jit Americas, Inc. | Method of fabricating semiconductor by nitrogen doping of silicon film |
US7749563B2 (en) | 2002-10-07 | 2010-07-06 | Applied Materials, Inc. | Two-layer film for next generation damascene barrier application with good oxidation resistance |
KR100496265B1 (en) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | Method of forming a thin film in a semiconductor device |
US7097886B2 (en) | 2002-12-13 | 2006-08-29 | Applied Materials, Inc. | Deposition process for high aspect ratio trenches |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
CN100567564C (en) | 2002-12-20 | 2009-12-09 | 应用材料有限公司 | Form the method and apparatus of high quality low temperature silicon nitride layer |
US6890656B2 (en) | 2002-12-20 | 2005-05-10 | General Electric Company | High rate deposition of titanium dioxide |
KR100546852B1 (en) | 2002-12-28 | 2006-01-25 | 동부아남반도체 주식회사 | Method For Manufacturing Semiconductor Devices |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US6930059B2 (en) | 2003-02-27 | 2005-08-16 | Sharp Laboratories Of America, Inc. | Method for depositing a nanolaminate film by atomic layer deposition |
US7084076B2 (en) * | 2003-02-27 | 2006-08-01 | Samsung Electronics, Co., Ltd. | Method for forming silicon dioxide film using siloxane |
US7288292B2 (en) | 2003-03-18 | 2007-10-30 | International Business Machines Corporation | Ultra low k (ULK) SiCOH film and method |
US6930058B2 (en) | 2003-04-21 | 2005-08-16 | Micron Technology, Inc. | Method of depositing a silicon dioxide comprising layer doped with at least one of P, B and Ge |
KR101191222B1 (en) | 2003-04-23 | 2012-10-16 | 아익스트론 인코포레이티드 | Transient enhanced atomic layer deposition |
US7115528B2 (en) | 2003-04-29 | 2006-10-03 | Micron Technology, Inc. | Systems and method for forming silicon oxide layers |
US6949442B2 (en) | 2003-05-05 | 2005-09-27 | Infineon Technologies Ag | Methods of forming MIM capacitors |
US6765303B1 (en) | 2003-05-06 | 2004-07-20 | Advanced Micro Devices, Inc. | FinFET-based SRAM cell |
EP1623454A2 (en) | 2003-05-09 | 2006-02-08 | ASM America, Inc. | Reactor surface passivation through chemical deactivation |
JP4329403B2 (en) | 2003-05-19 | 2009-09-09 | 東京エレクトロン株式会社 | Plasma processing equipment |
US7211508B2 (en) * | 2003-06-18 | 2007-05-01 | Applied Materials, Inc. | Atomic layer deposition of tantalum based barrier materials |
US6930060B2 (en) | 2003-06-18 | 2005-08-16 | International Business Machines Corporation | Method for forming a uniform distribution of nitrogen in silicon oxynitride gate dielectric |
US7125815B2 (en) | 2003-07-07 | 2006-10-24 | Micron Technology, Inc. | Methods of forming a phosphorous doped silicon dioxide comprising layer |
US7264849B2 (en) | 2003-07-11 | 2007-09-04 | Optisolar, Inc. | Roll-vortex plasma chemical vapor deposition method |
US7399388B2 (en) | 2003-07-25 | 2008-07-15 | Applied Materials, Inc. | Sequential gas flow oxide deposition technique |
US6943097B2 (en) | 2003-08-19 | 2005-09-13 | International Business Machines Corporation | Atomic layer deposition of metallic contacts, gates and diffusion barriers |
KR100568859B1 (en) | 2003-08-21 | 2006-04-10 | 삼성전자주식회사 | Method for manufacturing transistor of dynamic random access memory semiconductor |
KR100500472B1 (en) | 2003-10-13 | 2005-07-12 | 삼성전자주식회사 | Recess gate transistor structure and method therefore |
US7261919B2 (en) | 2003-11-18 | 2007-08-28 | Flx Micro, Inc. | Silicon carbide and other films and method of deposition |
US20050109276A1 (en) | 2003-11-25 | 2005-05-26 | Applied Materials, Inc. | Thermal chemical vapor deposition of silicon nitride using BTBAS bis(tertiary-butylamino silane) in a single wafer chamber |
US7291271B2 (en) | 2003-12-09 | 2007-11-06 | Separation Design Group, Llc | Meso-frequency traveling wave electro-kinetic continuous adsorption system |
JP2005210076A (en) | 2003-12-25 | 2005-08-04 | Semiconductor Leading Edge Technologies Inc | Deposition method of silicon nitride film, and manufacturing method of semiconductor device using the deposition method |
KR100545697B1 (en) | 2003-12-29 | 2006-01-24 | 주식회사 하이닉스반도체 | Trench device isolation method for semiconductor devices |
KR100560654B1 (en) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | Nitrogenous compound for forming silicon nitride film and method of forming silicon nitride film using the same |
US20050181535A1 (en) | 2004-02-17 | 2005-08-18 | Yun Sun J. | Method of fabricating passivation layer for organic devices |
US7088003B2 (en) | 2004-02-19 | 2006-08-08 | International Business Machines Corporation | Structures and methods for integration of ultralow-k dielectrics with improved reliability |
JP4279176B2 (en) | 2004-03-02 | 2009-06-17 | 株式会社アルバック | Method for forming silicon nitride film |
KR100538096B1 (en) | 2004-03-16 | 2005-12-21 | 삼성전자주식회사 | Method for forming a capacitor using atomic layer deposition method |
JP2005310927A (en) | 2004-04-20 | 2005-11-04 | Toshiba Corp | Method of forming high-quality silicon nitride film by ultraviolet-ray irradiation |
US7259050B2 (en) | 2004-04-29 | 2007-08-21 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of making the same |
US7001844B2 (en) | 2004-04-30 | 2006-02-21 | International Business Machines Corporation | Material for contact etch layer to enhance device performance |
US7651729B2 (en) | 2004-05-14 | 2010-01-26 | Samsung Electronics Co., Ltd. | Method of fabricating metal silicate layer using atomic layer deposition technique |
US8119210B2 (en) | 2004-05-21 | 2012-02-21 | Applied Materials, Inc. | Formation of a silicon oxynitride layer on a high-k dielectric material |
KR100591157B1 (en) | 2004-06-07 | 2006-06-19 | 동부일렉트로닉스 주식회사 | Method of manufacturing semiconductor device |
US7449345B2 (en) | 2004-06-15 | 2008-11-11 | Headway Technologies, Inc. | Capping structure for enhancing dR/R of the MTJ device |
JP4396547B2 (en) | 2004-06-28 | 2010-01-13 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
US20050287747A1 (en) | 2004-06-29 | 2005-12-29 | International Business Machines Corporation | Doped nitride film, doped oxide film and other doped films |
US7488690B2 (en) | 2004-07-06 | 2009-02-10 | Applied Materials, Inc. | Silicon nitride film with stress control |
JP4595702B2 (en) | 2004-07-15 | 2010-12-08 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
US7241686B2 (en) | 2004-07-20 | 2007-07-10 | Applied Materials, Inc. | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA |
JP4179311B2 (en) | 2004-07-28 | 2008-11-12 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
JP4470023B2 (en) | 2004-08-20 | 2010-06-02 | レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード | Method for manufacturing silicon nitride film |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
CN102610481B (en) | 2004-09-01 | 2016-04-13 | 朗姆研究公司 | For increasing device and the plasma ashing method of photoresistance removal rate |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US7148155B1 (en) | 2004-10-26 | 2006-12-12 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US7790633B1 (en) | 2004-10-26 | 2010-09-07 | Novellus Systems, Inc. | Sequential deposition/anneal film densification method |
US20060105106A1 (en) | 2004-11-16 | 2006-05-18 | Applied Materials, Inc. | Tensile and compressive stressed materials for semiconductors |
KR100648252B1 (en) | 2004-11-22 | 2006-11-24 | 삼성전자주식회사 | Method of forming a tungsten layer and method of forming a semicondcutor device using the same |
JP4701691B2 (en) | 2004-11-29 | 2011-06-15 | 東京エレクトロン株式会社 | Etching method |
US7429402B2 (en) * | 2004-12-10 | 2008-09-30 | Applied Materials, Inc. | Ruthenium as an underlayer for tungsten film deposition |
US8193096B2 (en) | 2004-12-13 | 2012-06-05 | Novellus Systems, Inc. | High dose implantation strip (HDIS) in H2 base chemistry |
US7482247B1 (en) | 2004-12-30 | 2009-01-27 | Novellus Systems, Inc. | Conformal nanolaminate dielectric deposition and etch bag gap fill process |
US7205187B2 (en) | 2005-01-18 | 2007-04-17 | Tokyo Electron Limited | Micro-feature fill process and apparatus using hexachlorodisilane or other chlorine-containing silicon precursor |
US20060162661A1 (en) | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US7838072B2 (en) | 2005-01-26 | 2010-11-23 | Tokyo Electron Limited | Method and apparatus for monolayer deposition (MLD) |
US20060240187A1 (en) * | 2005-01-27 | 2006-10-26 | Applied Materials, Inc. | Deposition of an intermediate catalytic layer on a barrier layer for copper metallization |
US20060183055A1 (en) | 2005-02-15 | 2006-08-17 | O'neill Mark L | Method for defining a feature on a substrate |
KR100622609B1 (en) | 2005-02-16 | 2006-09-19 | 주식회사 하이닉스반도체 | Thin film deposition method |
US7629267B2 (en) | 2005-03-07 | 2009-12-08 | Asm International N.V. | High stress nitride film and method for formation thereof |
US7109129B1 (en) | 2005-03-09 | 2006-09-19 | Novellus Systems, Inc. | Optimal operation of conformal silica deposition reactors |
JP4258518B2 (en) | 2005-03-09 | 2009-04-30 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
CN100554506C (en) | 2005-03-09 | 2009-10-28 | 东京毅力科创株式会社 | Film that semiconductor processes is used and device |
KR100640638B1 (en) | 2005-03-10 | 2006-10-31 | 삼성전자주식회사 | Method for forming high dielectric film by atomic layer deposition and method of fabricating semiconductor device having high dielectric film |
JP4506677B2 (en) | 2005-03-11 | 2010-07-21 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
US7608549B2 (en) | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
JP2006261434A (en) | 2005-03-17 | 2006-09-28 | L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude | Method for forming silicon oxide film |
US7435454B2 (en) | 2005-03-21 | 2008-10-14 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7314835B2 (en) | 2005-03-21 | 2008-01-01 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
US7341959B2 (en) | 2005-03-21 | 2008-03-11 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system and method |
JP4228150B2 (en) | 2005-03-23 | 2009-02-25 | 東京エレクトロン株式会社 | Film forming apparatus, film forming method, and storage medium |
US7422636B2 (en) | 2005-03-25 | 2008-09-09 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system having reduced contamination |
JP4607637B2 (en) | 2005-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | Silicon nitride film forming method, silicon nitride film forming apparatus and program |
US7687409B2 (en) | 2005-03-29 | 2010-03-30 | Micron Technology, Inc. | Atomic layer deposited titanium silicon oxide films |
US7365027B2 (en) | 2005-03-29 | 2008-04-29 | Micron Technology, Inc. | ALD of amorphous lanthanide doped TiOx films |
US7361538B2 (en) | 2005-04-14 | 2008-04-22 | Infineon Technologies Ag | Transistors and methods of manufacture thereof |
US7390756B2 (en) * | 2005-04-28 | 2008-06-24 | Micron Technology, Inc. | Atomic layer deposited zirconium silicon oxide films |
US7875556B2 (en) | 2005-05-16 | 2011-01-25 | Air Products And Chemicals, Inc. | Precursors for CVD silicon carbo-nitride and silicon nitride films |
US7176084B2 (en) | 2005-06-09 | 2007-02-13 | Taiwan Semiconductor Manufacturing Co., Ltd. | Self-aligned conductive spacer process for sidewall control gate of high-speed random access memory |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US20060286774A1 (en) | 2005-06-21 | 2006-12-21 | Applied Materials. Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
JP4752349B2 (en) | 2005-06-23 | 2011-08-17 | 大日本印刷株式会社 | Pattern forming body and manufacturing method thereof |
US20070006557A1 (en) * | 2005-07-05 | 2007-01-11 | Treatyou Medical Technology Co. | Filter system for respiratory mask and method of implementing the filter system |
JP2007019145A (en) | 2005-07-06 | 2007-01-25 | Tokyo Electron Ltd | Method of forming silicon oxynitride film, device of forming same and program |
JP2007043147A (en) | 2005-07-29 | 2007-02-15 | Samsung Electronics Co Ltd | Method of forming silicon-rich nanocrystal structure using atomic layer deposition process and method of manufacturing nonvolatile semiconductor device using the same |
US7132353B1 (en) | 2005-08-02 | 2006-11-07 | Applied Materials, Inc. | Boron diffusion barrier by nitrogen incorporation in spacer dielectrics |
JP4305427B2 (en) | 2005-08-02 | 2009-07-29 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
KR100652427B1 (en) | 2005-08-22 | 2006-12-01 | 삼성전자주식회사 | Method of forming conductive polysilicon thin film using ald and method of manufacturing semiconductor device using the same |
US7402534B2 (en) * | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
KR100734748B1 (en) | 2005-09-08 | 2007-07-03 | 주식회사 아이피에스 | A method for depositing nitride thin film on wafer by in-situ |
US20070087581A1 (en) | 2005-09-09 | 2007-04-19 | Varian Semiconductor Equipment Associates, Inc. | Technique for atomic layer deposition |
US20070065576A1 (en) | 2005-09-09 | 2007-03-22 | Vikram Singh | Technique for atomic layer deposition |
US20070065578A1 (en) | 2005-09-21 | 2007-03-22 | Applied Materials, Inc. | Treatment processes for a batch ALD reactor |
US7524743B2 (en) | 2005-10-13 | 2009-04-28 | Varian Semiconductor Equipment Associates, Inc. | Conformal doping apparatus and method |
CN101288162B (en) | 2005-10-14 | 2010-06-09 | 日本电气株式会社 | Method and apparatus for manufacturing semiconductor device |
KR101019293B1 (en) | 2005-11-04 | 2011-03-07 | 어플라이드 머티어리얼스, 인코포레이티드 | Apparatus and process for plasma-enhanced atomic layer deposition |
US7897217B2 (en) | 2005-11-18 | 2011-03-01 | Tokyo Electron Limited | Method and system for performing plasma enhanced atomic layer deposition |
US20110198756A1 (en) | 2005-11-28 | 2011-08-18 | Thenappan Ue | Organometallic Precursors and Related Intermediates for Deposition Processes, Their Production and Methods of Use |
US7615438B2 (en) | 2005-12-08 | 2009-11-10 | Micron Technology, Inc. | Lanthanide yttrium aluminum oxide dielectric films |
US7592251B2 (en) | 2005-12-08 | 2009-09-22 | Micron Technology, Inc. | Hafnium tantalum titanium oxide films |
US7829159B2 (en) | 2005-12-16 | 2010-11-09 | Asm Japan K.K. | Method of forming organosilicon oxide film and multilayer resist structure |
JP2007180362A (en) | 2005-12-28 | 2007-07-12 | Toshiba Corp | Semiconductor device |
JP4434149B2 (en) | 2006-01-16 | 2010-03-17 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
US20070218701A1 (en) | 2006-03-15 | 2007-09-20 | Asm Japan K.K. | Semiconductor-processing apparatus with rotating susceptor |
US20070215036A1 (en) | 2006-03-15 | 2007-09-20 | Hyung-Sang Park | Method and apparatus of time and space co-divided atomic layer deposition |
US7959985B2 (en) | 2006-03-20 | 2011-06-14 | Tokyo Electron Limited | Method of integrating PEALD Ta-containing films into Cu metallization |
TW201415551A (en) | 2006-03-31 | 2014-04-16 | Applied Materials Inc | Method to improve the step coverage and pattern loading for dielectric films |
US7601651B2 (en) | 2006-03-31 | 2009-10-13 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
US7645484B2 (en) | 2006-03-31 | 2010-01-12 | Tokyo Electron Limited | Method of forming a metal carbide or metal carbonitride film having improved adhesion |
JP4929811B2 (en) | 2006-04-05 | 2012-05-09 | 東京エレクトロン株式会社 | Plasma processing equipment |
JP2007287890A (en) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | Forming method of insulating film, manufacturing method of semiconductor device and plasma cvd apparatus |
JP2007287889A (en) | 2006-04-14 | 2007-11-01 | Kochi Univ Of Technology | Forming method of insulating film and manufacturing method of semiconductor device |
US7524750B2 (en) | 2006-04-17 | 2009-04-28 | Applied Materials, Inc. | Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD |
US7727413B2 (en) | 2006-04-24 | 2010-06-01 | Applied Materials, Inc. | Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density |
FR2900276B1 (en) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | PEALD DEPOSITION OF A SILICON MATERIAL |
KR100756809B1 (en) | 2006-04-28 | 2007-09-07 | 주식회사 하이닉스반도체 | Semiconductor device and method for fabricating the same |
US7798096B2 (en) | 2006-05-05 | 2010-09-21 | Applied Materials, Inc. | Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool |
US8530361B2 (en) * | 2006-05-23 | 2013-09-10 | Air Products And Chemicals, Inc. | Process for producing silicon and oxide films from organoaminosilane precursors |
US20070281106A1 (en) | 2006-05-30 | 2007-12-06 | Applied Materials, Inc. | Process chamber for dielectric gapfill |
US7498273B2 (en) | 2006-05-30 | 2009-03-03 | Applied Materials, Inc. | Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes |
WO2007145513A1 (en) | 2006-06-16 | 2007-12-21 | Fujifilm Manufacturing Europe B.V. | Method and apparatus for atomic layer deposition using an atmospheric pressure glow discharge plasma |
US7625820B1 (en) | 2006-06-21 | 2009-12-01 | Novellus Systems, Inc. | Method of selective coverage of high aspect ratio structures with a conformal film |
US8232176B2 (en) | 2006-06-22 | 2012-07-31 | Applied Materials, Inc. | Dielectric deposition and etch back processes for bottom up gapfill |
US20080014759A1 (en) | 2006-07-12 | 2008-01-17 | Applied Materials, Inc. | Method for fabricating a gate dielectric layer utilized in a gate structure |
KR100791334B1 (en) | 2006-07-26 | 2008-01-07 | 삼성전자주식회사 | Method of forming a metal oxide by atomic layer deposition |
US7435684B1 (en) | 2006-07-26 | 2008-10-14 | Novellus Systems, Inc. | Resolving of fluorine loading effect in the vacuum chamber |
US7601648B2 (en) | 2006-07-31 | 2009-10-13 | Applied Materials, Inc. | Method for fabricating an integrated gate dielectric layer for field effect transistors |
US7592231B2 (en) | 2006-08-01 | 2009-09-22 | United Microelectronics Corp. | MOS transistor and fabrication thereof |
US7749879B2 (en) | 2006-08-03 | 2010-07-06 | Micron Technology, Inc. | ALD of silicon films on germanium |
JP4929932B2 (en) | 2006-09-01 | 2012-05-09 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
WO2008035678A1 (en) | 2006-09-19 | 2008-03-27 | Tokyo Electron Limited | Plasma cleaning process and plasma cvd method |
TWI462179B (en) | 2006-09-28 | 2014-11-21 | Tokyo Electron Ltd | Film formation method and apparatus for forming silicon oxide film |
JP5258229B2 (en) | 2006-09-28 | 2013-08-07 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
US7939455B2 (en) | 2006-09-29 | 2011-05-10 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
JP2010506408A (en) | 2006-10-05 | 2010-02-25 | エーエスエム アメリカ インコーポレイテッド | ALD of metal silicate film |
US20080087890A1 (en) | 2006-10-16 | 2008-04-17 | Micron Technology, Inc. | Methods to form dielectric structures in semiconductor devices and resulting devices |
US20080139003A1 (en) | 2006-10-26 | 2008-06-12 | Shahid Pirzada | Barrier coating deposition for thin film devices using plasma enhanced chemical vapor deposition process |
US20080124484A1 (en) * | 2006-11-08 | 2008-05-29 | Asm Japan K.K. | Method of forming ru film and metal wiring structure |
KR100816759B1 (en) | 2006-11-09 | 2008-03-25 | 삼성전자주식회사 | Nonvolatile memory device having a storage of variable resistor and method of operating the same |
US7749574B2 (en) * | 2006-11-14 | 2010-07-06 | Applied Materials, Inc. | Low temperature ALD SiO2 |
US20080119098A1 (en) * | 2006-11-21 | 2008-05-22 | Igor Palley | Atomic layer deposition on fibrous materials |
US20080142483A1 (en) | 2006-12-07 | 2008-06-19 | Applied Materials, Inc. | Multi-step dep-etch-dep high density plasma chemical vapor deposition processes for dielectric gapfills |
CN101657564A (en) | 2007-02-12 | 2010-02-24 | 莲花应用技术有限责任公司 | Prepare matrix material with ald |
US20080213479A1 (en) | 2007-02-16 | 2008-09-04 | Tokyo Electron Limited | SiCN film formation method and apparatus |
US20080207007A1 (en) | 2007-02-27 | 2008-08-28 | Air Products And Chemicals, Inc. | Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films |
KR100805018B1 (en) | 2007-03-23 | 2008-02-20 | 주식회사 하이닉스반도체 | Method of manufacturing in semiconductor device |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7776733B2 (en) | 2007-05-02 | 2010-08-17 | Tokyo Electron Limited | Method for depositing titanium nitride films for semiconductor manufacturing |
US20080274626A1 (en) * | 2007-05-04 | 2008-11-06 | Frederique Glowacki | Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface |
KR101457656B1 (en) | 2007-05-17 | 2014-11-04 | 가부시키가이샤 한도오따이 에네루기 켄큐쇼 | Manufacturing method of semiconductor device, manufacturing method of display device, semiconductor device, display device, and electronic device |
JP2008294260A (en) | 2007-05-25 | 2008-12-04 | Sony Corp | Semiconductor device and manufacturing method therefor, and laminate insulating film and forming method therefor |
JP5151260B2 (en) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
KR100956210B1 (en) | 2007-06-19 | 2010-05-04 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | Plasma enhanced cyclic deposition method of metal silicon nitride film |
US8017182B2 (en) | 2007-06-21 | 2011-09-13 | Asm International N.V. | Method for depositing thin films by mixed pulsed CVD and ALD |
US7638170B2 (en) | 2007-06-21 | 2009-12-29 | Asm International N.V. | Low resistivity metal carbonitride thin film deposition by atomic layer deposition |
US7566627B2 (en) * | 2007-06-29 | 2009-07-28 | Texas Instruments Incorporated | Air gap in integrated circuit inductor fabrication |
EP2011898B1 (en) | 2007-07-03 | 2021-04-07 | Beneq Oy | Method in depositing metal oxide materials |
US7572052B2 (en) | 2007-07-10 | 2009-08-11 | Applied Materials, Inc. | Method for monitoring and calibrating temperature in semiconductor processing chambers |
US20090041952A1 (en) | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
JP5098882B2 (en) | 2007-08-31 | 2012-12-12 | 東京エレクトロン株式会社 | Plasma processing equipment |
US7633125B2 (en) | 2007-08-31 | 2009-12-15 | Intel Corporation | Integration of silicon boron nitride in high voltage and small pitch semiconductors |
US20090065896A1 (en) | 2007-09-07 | 2009-03-12 | Seoul National University Industry Foundation | CAPACITOR HAVING Ru ELECTRODE AND TiO2 DIELECTRIC LAYER FOR SEMICONDUCTOR DEVICE AND METHOD OF FABRICATING THE SAME |
CN101889331A (en) | 2007-09-18 | 2010-11-17 | 乔治洛德方法研究和开发液化空气有限公司 | Method of forming silicon-containing films |
US8119424B2 (en) | 2007-09-28 | 2012-02-21 | Everspin Technologies, Inc. | Electronic device including a magneto-resistive memory device and a process for forming the electronic device |
CA2701412C (en) * | 2007-10-01 | 2017-06-20 | Kovio, Inc. | Profile engineered thin film devices and structures |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US7943531B2 (en) | 2007-10-22 | 2011-05-17 | Applied Materials, Inc. | Methods for forming a silicon oxide layer over a substrate |
KR20090057665A (en) | 2007-12-03 | 2009-06-08 | 주식회사 아이피에스 | Method for depositing thin film containing metal |
US7651959B2 (en) | 2007-12-03 | 2010-01-26 | Asm Japan K.K. | Method for forming silazane-based dielectric film |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
KR101221598B1 (en) | 2007-12-18 | 2013-01-14 | 삼성전자주식회사 | Method for forming a dielectric layer pattern and method for manufacturing non-volatile memory device using for the same |
US7964515B2 (en) | 2007-12-21 | 2011-06-21 | Tokyo Electron Limited | Method of forming high-dielectric constant films for semiconductor devices |
KR20090067576A (en) | 2007-12-21 | 2009-06-25 | 삼성전자주식회사 | Method of filling a trench and method of forming an isolation layer structure using the same |
JP4935684B2 (en) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
JP4935687B2 (en) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
JP5297048B2 (en) | 2008-01-28 | 2013-09-25 | 三菱重工業株式会社 | Plasma processing method and plasma processing apparatus |
TWI438953B (en) | 2008-01-30 | 2014-05-21 | Osram Opto Semiconductors Gmbh | Method for manufacturing electronic components and electronic components |
JP4959733B2 (en) | 2008-02-01 | 2012-06-27 | 東京エレクトロン株式会社 | Thin film forming method, thin film forming apparatus, and program |
US20090203197A1 (en) | 2008-02-08 | 2009-08-13 | Hiroji Hanawa | Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition |
US8153348B2 (en) | 2008-02-20 | 2012-04-10 | Applied Materials, Inc. | Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch |
JP5405031B2 (en) | 2008-03-06 | 2014-02-05 | AzエレクトロニックマテリアルズIp株式会社 | Solution for immersion used in the production of siliceous film and method for producing siliceous film using the same |
JP2009260151A (en) | 2008-04-18 | 2009-11-05 | Tokyo Electron Ltd | Method of forming metal doped layer, film forming apparatus, and storage medium |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
KR101436564B1 (en) | 2008-05-07 | 2014-09-02 | 한국에이에스엠지니텍 주식회사 | Forming method of amorphous silicone thin film |
US20090286402A1 (en) | 2008-05-13 | 2009-11-19 | Applied Materials, Inc | Method for critical dimension shrink using conformal pecvd films |
US8133797B2 (en) | 2008-05-16 | 2012-03-13 | Novellus Systems, Inc. | Protective layer to enable damage free gap fill |
US7622369B1 (en) | 2008-05-30 | 2009-11-24 | Asm Japan K.K. | Device isolation technology on semiconductor substrate |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
JP5190307B2 (en) | 2008-06-29 | 2013-04-24 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and storage medium |
WO2010011974A1 (en) * | 2008-07-24 | 2010-01-28 | Kovio, Inc. | Aluminum inks and methods of making the same, methods for depositing aluminum inks, and films formed by printing and/or depositing an aluminum ink |
US8373254B2 (en) | 2008-07-29 | 2013-02-12 | Taiwan Semiconductor Manufacturing Company, Ltd. | Structure for reducing integrated circuit corner peeling |
ES2335638B1 (en) | 2008-08-01 | 2011-02-09 | Cosentino, S.A. | ARTICLE IN THE FORM OF A TABLE OR Slab MANUFACTURED OF PETREO AGLOMERATE COATED WITH TRANSPARENT THIN SHEETS OF TIO2 OR ZNO THROUGH DRY DEPOSITION TECHNIQUES WITH HIGH RESISTANCE AGAINST SOLAR DEGRADATION. |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
US8357617B2 (en) | 2008-08-22 | 2013-01-22 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of patterning a metal gate of semiconductor device |
US20100051578A1 (en) | 2008-09-04 | 2010-03-04 | Shuo-Che Chang | Method for fabricating an integrated circuit |
US8726838B2 (en) * | 2010-03-31 | 2014-05-20 | Intermolecular, Inc. | Combinatorial plasma enhanced deposition and etch techniques |
JP2010103484A (en) | 2008-09-29 | 2010-05-06 | Adeka Corp | Semiconductor device, apparatus and method for manufacturing the same |
US20100081274A1 (en) * | 2008-09-29 | 2010-04-01 | Tokyo Electron Limited | Method for forming ruthenium metal cap layers |
US8303780B2 (en) | 2008-09-30 | 2012-11-06 | Tdk Corporation | Method of forming mask for dry etching and manufacturing method of magnetic head using the same method |
US7981808B2 (en) * | 2008-09-30 | 2011-07-19 | Freescale Semiconductor, Inc. | Method of forming a gate dielectric by in-situ plasma |
US20100081293A1 (en) | 2008-10-01 | 2010-04-01 | Applied Materials, Inc. | Methods for forming silicon nitride based film or silicon carbon based film |
JP5233562B2 (en) | 2008-10-04 | 2013-07-10 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
US8591661B2 (en) | 2009-12-11 | 2013-11-26 | Novellus Systems, Inc. | Low damage photoresist strip method for low-K dielectrics |
US7910491B2 (en) | 2008-10-16 | 2011-03-22 | Applied Materials, Inc. | Gapfill improvement with low etch rate dielectric liners |
US7745346B2 (en) | 2008-10-17 | 2010-06-29 | Novellus Systems, Inc. | Method for improving process control and film conformality of PECVD film |
US8252653B2 (en) | 2008-10-21 | 2012-08-28 | Applied Materials, Inc. | Method of forming a non-volatile memory having a silicon nitride charge trap layer |
KR20110084275A (en) | 2008-10-27 | 2011-07-21 | 어플라이드 머티어리얼스, 인코포레이티드 | Vapor deposition method for ternary compounds |
US8580993B2 (en) | 2008-11-12 | 2013-11-12 | Air Products And Chemicals, Inc. | Amino vinylsilane precursors for stressed SiN films |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
US9336925B1 (en) * | 2008-11-26 | 2016-05-10 | Thin Film Electronics Asa | Siloxanes, doped siloxanes, methods for their synthesis, compositions containing the same, and films formed therefrom |
CN101736326B (en) | 2008-11-26 | 2011-08-10 | 中微半导体设备(上海)有限公司 | Capacitively coupled plasma processing reactor |
US20100136313A1 (en) | 2008-12-01 | 2010-06-03 | Asm Japan K.K. | Process for forming high resistivity thin metallic film |
GB0823565D0 (en) | 2008-12-24 | 2009-01-28 | Oxford Instr Plasma Technology | Signal generating system |
JP5293168B2 (en) | 2008-12-25 | 2013-09-18 | 富士通株式会社 | Resist composition and method for manufacturing semiconductor device using the same |
JP2010183069A (en) | 2009-01-07 | 2010-08-19 | Hitachi Kokusai Electric Inc | Manufacturing method of semiconductor device and substrate processing apparatus |
US7972980B2 (en) | 2009-01-21 | 2011-07-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
US8142862B2 (en) | 2009-01-21 | 2012-03-27 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
JP2010177652A (en) | 2009-02-02 | 2010-08-12 | Toshiba Corp | Method for manufacturing semiconductor device |
JP5298938B2 (en) | 2009-02-24 | 2013-09-25 | 住友電気工業株式会社 | Manufacturing method of semiconductor device |
JP4792097B2 (en) | 2009-03-25 | 2011-10-12 | 株式会社東芝 | Nonvolatile memory device and manufacturing method thereof |
US8197915B2 (en) | 2009-04-01 | 2012-06-12 | Asm Japan K.K. | Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature |
US9159571B2 (en) | 2009-04-16 | 2015-10-13 | Lam Research Corporation | Tungsten deposition process using germanium-containing reducing agent |
JP2010251654A (en) | 2009-04-20 | 2010-11-04 | Elpida Memory Inc | Deposition method and manufacturing method of semiconductor device |
JP5408483B2 (en) | 2009-07-03 | 2014-02-05 | ルネサスエレクトロニクス株式会社 | Manufacturing method of semiconductor device |
US20110008972A1 (en) * | 2009-07-13 | 2011-01-13 | Daniel Damjanovic | Methods for forming an ald sio2 film |
JP2011023718A (en) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | METHOD FOR FORMING STRESS-TUNED DIELECTRIC FILM HAVING Si-N BOND BY PEALD |
JP2011023576A (en) | 2009-07-16 | 2011-02-03 | Hitachi Kokusai Electric Inc | Method of manufacturing semiconductor device, and device for treating substrate |
JP2011023655A (en) | 2009-07-17 | 2011-02-03 | Shimadzu Corp | Silicon nitride thin film depositing method, and silicon nitride thin film depositing device |
US7989365B2 (en) | 2009-08-18 | 2011-08-02 | Applied Materials, Inc. | Remote plasma source seasoning |
US8169024B2 (en) | 2009-08-18 | 2012-05-01 | International Business Machines Corporation | Method of forming extremely thin semiconductor on insulator (ETSOI) device without ion implantation |
JP5569153B2 (en) | 2009-09-02 | 2014-08-13 | ソニー株式会社 | Solid-state imaging device and manufacturing method thereof |
KR101680899B1 (en) | 2009-09-02 | 2016-11-29 | 소니 주식회사 | Solid-state image pickup device and fabrication process thereof |
KR101732187B1 (en) | 2009-09-03 | 2017-05-02 | 에이에스엠 저펜 가부시기가이샤 | METHOD OF FORMING CONFORMAL DIELECTRIC FILM HAVING Si-N BONDS BY PECVD |
US8072800B2 (en) | 2009-09-15 | 2011-12-06 | Grandis Inc. | Magnetic element having perpendicular anisotropy with enhanced efficiency |
US8278224B1 (en) | 2009-09-24 | 2012-10-02 | Novellus Systems, Inc. | Flowable oxide deposition using rapid delivery of process gases |
JP2011067744A (en) | 2009-09-25 | 2011-04-07 | Dowa Holdings Co Ltd | Hydrogen producing catalyst, hydrogen producing method, hydrogen producing apparatus, and fuel cell system |
US8076241B2 (en) | 2009-09-30 | 2011-12-13 | Tokyo Electron Limited | Methods for multi-step copper plating on a continuous ruthenium film in recessed features |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
JP5692085B2 (en) | 2009-11-11 | 2015-04-01 | 日本電気株式会社 | Resistance change element, semiconductor device, and method of forming resistance change element |
US8691675B2 (en) | 2009-11-25 | 2014-04-08 | International Business Machines Corporation | Vapor phase deposition processes for doping silicon |
CN102652353B (en) | 2009-12-09 | 2016-12-07 | 诺发系统有限公司 | Novel gap fill integration |
US20110143548A1 (en) | 2009-12-11 | 2011-06-16 | David Cheung | Ultra low silicon loss high dose implant strip |
WO2011087698A2 (en) | 2009-12-22 | 2011-07-21 | Applied Materials, Inc. | Pecvd multi-step processing with continuous plasma |
US8662053B2 (en) | 2009-12-22 | 2014-03-04 | Cummins Inc. | Pre-combustion device for an internal combustion engine |
US8501629B2 (en) | 2009-12-23 | 2013-08-06 | Applied Materials, Inc. | Smooth SiConi etch for silicon-containing films |
US20110159202A1 (en) | 2009-12-29 | 2011-06-30 | Asm Japan K.K. | Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD |
JP2011166106A (en) | 2010-01-13 | 2011-08-25 | Renesas Electronics Corp | Semiconductor device manufacturing method, and semiconductor device |
US8703625B2 (en) | 2010-02-04 | 2014-04-22 | Air Products And Chemicals, Inc. | Methods to prepare silicon-containing films |
JP5514129B2 (en) | 2010-02-15 | 2014-06-04 | 東京エレクトロン株式会社 | Film forming method, film forming apparatus, and method of using film forming apparatus |
US9608119B2 (en) * | 2010-03-02 | 2017-03-28 | Micron Technology, Inc. | Semiconductor-metal-on-insulator structures, methods of forming such structures, and semiconductor devices including such structures |
JP5742185B2 (en) | 2010-03-19 | 2015-07-01 | 東京エレクトロン株式会社 | Film forming apparatus, film forming method, rotation speed optimization method, and storage medium |
JP5632067B2 (en) * | 2010-03-30 | 2014-11-26 | ハンツマン・インターナショナル・エルエルシー | Method for producing uretonimine-modified isocyanate composition |
EP2730676A1 (en) | 2010-04-01 | 2014-05-14 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Method for deposition of silicon nitride layers |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8993460B2 (en) | 2013-01-10 | 2015-03-31 | Novellus Systems, Inc. | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants |
EP3664165B1 (en) | 2010-05-21 | 2022-06-29 | ASM International N.V. | Method of manufacturing a solar cell |
US8912353B2 (en) | 2010-06-02 | 2014-12-16 | Air Products And Chemicals, Inc. | Organoaminosilane precursors and methods for depositing films comprising same |
US8343881B2 (en) | 2010-06-04 | 2013-01-01 | Applied Materials, Inc. | Silicon dioxide layer deposited with BDEAS |
KR101710658B1 (en) | 2010-06-18 | 2017-02-27 | 삼성전자 주식회사 | Three dimensional stacked structure semiconductor device having through silicon via and method for signaling thereof |
DE102010027606A1 (en) * | 2010-07-20 | 2012-01-26 | Magna Car Top Systems Gmbh | Sealing device for a folding top |
WO2012012381A1 (en) | 2010-07-22 | 2012-01-26 | Synos Technology, Inc. | Treating surface of substrate using inert gas plasma in atomic layer deposition |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
KR101147728B1 (en) | 2010-08-02 | 2012-05-25 | 주식회사 유진테크 | Method of cyclic deposition thin film |
US8394466B2 (en) | 2010-09-03 | 2013-03-12 | Asm Japan K.K. | Method of forming conformal film having si-N bonds on high-aspect ratio pattern |
US20120064682A1 (en) | 2010-09-14 | 2012-03-15 | Jang Kyung-Tae | Methods of Manufacturing Three-Dimensional Semiconductor Memory Devices |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8101531B1 (en) | 2010-09-23 | 2012-01-24 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
CN102412180A (en) * | 2010-09-25 | 2012-04-11 | 中国科学院微电子研究所 | SOI substrate, semiconductor device with SOI substrate and forming method of semiconductor device |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
TW201224190A (en) | 2010-10-06 | 2012-06-16 | Applied Materials Inc | Atomic layer deposition of photoresist materials and hard mask precursors |
KR101815527B1 (en) | 2010-10-07 | 2018-01-05 | 삼성전자주식회사 | Semiconductor device and method for manufacturing the same |
WO2012057889A1 (en) | 2010-10-29 | 2012-05-03 | Applied Materials, Inc. | Atomic layer deposition film with tunable refractive index and absorption coefficient and methods of making |
EP3540300B1 (en) | 2010-11-10 | 2024-05-08 | Shoei Chemical Inc. | Quantum dot films, lighting devices, and lighting methods |
US20120149213A1 (en) * | 2010-12-09 | 2012-06-14 | Lakshminarayana Nittala | Bottom up fill in high aspect ratio trenches |
US20120164834A1 (en) | 2010-12-22 | 2012-06-28 | Kevin Jennings | Variable-Density Plasma Processing of Semiconductor Substrates |
US8901016B2 (en) | 2010-12-28 | 2014-12-02 | Asm Japan K.K. | Method of forming metal oxide hardmask |
JP2012160671A (en) | 2011-02-02 | 2012-08-23 | Toshiba Corp | Magnetic random access memory and method of manufacturing the same |
JP5661523B2 (en) | 2011-03-18 | 2015-01-28 | 東京エレクトロン株式会社 | Film forming method and film forming apparatus |
US20120255612A1 (en) * | 2011-04-08 | 2012-10-11 | Dieter Pierreux | Ald of metal oxide film using precursor pairs with different oxidants |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
WO2012148439A1 (en) | 2011-04-25 | 2012-11-01 | William Marsh Rice University | Direct growth of graphene films on non-catalyst surfaces |
CN103582719B (en) * | 2011-06-03 | 2016-08-31 | 气体产品与化学公司 | For depositing compositions and the method for carbon doping silicon-containing film |
KR101996684B1 (en) * | 2011-07-28 | 2019-07-04 | 도판 인사츠 가부시키가이샤 | Laminated body, gas barrier film, and method for producing laminated body and gas barrier film |
US9006802B2 (en) | 2011-08-18 | 2015-04-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device manufacturing methods and methods of forming insulating material layers |
JP2013058521A (en) | 2011-09-07 | 2013-03-28 | Toshiba Corp | Storage device and method for manufacturing the same |
JP5551129B2 (en) | 2011-09-07 | 2014-07-16 | 株式会社東芝 | Storage device |
TW201319299A (en) | 2011-09-13 | 2013-05-16 | Applied Materials Inc | Activated silicon precursors for low temperature plasma enhanced deposition |
US8575033B2 (en) | 2011-09-13 | 2013-11-05 | Applied Materials, Inc. | Carbosilane precursors for low temperature film deposition |
CN107342216B (en) | 2011-09-23 | 2022-05-31 | 诺发系统公司 | Plasma activated conformal dielectric film deposition |
US8809169B2 (en) | 2011-09-30 | 2014-08-19 | Tokyo Electron Limited | Multi-layer pattern for alternate ALD processes |
JP6043546B2 (en) | 2011-10-21 | 2016-12-14 | 株式会社日立国際電気 | Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program |
JP6065840B2 (en) | 2011-11-02 | 2017-01-25 | 宇部興産株式会社 | Tris (dialkylamide) aluminum compound and method for producing aluminum-containing thin film using the aluminum compound |
US9318431B2 (en) | 2011-11-04 | 2016-04-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Integrated circuit having a MOM capacitor and method of making same |
US8809152B2 (en) | 2011-11-18 | 2014-08-19 | International Business Machines Corporation | Germanium oxide free atomic layer deposition of silicon oxide and high-k gate dielectric on germanium containing channel for CMOS devices |
KR20130056608A (en) | 2011-11-22 | 2013-05-30 | 에스케이하이닉스 주식회사 | Phase-change random access memory device and method of manufacturing the same |
KR20170002668A (en) | 2011-12-20 | 2017-01-06 | 인텔 코포레이션 | Conformal low temperature hermetic dielectric diffusion barriers |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
JP5843318B2 (en) | 2012-02-14 | 2016-01-13 | 株式会社Adeka | Raw material for forming aluminum nitride thin film for ALD method and method for producing the thin film |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US8846484B2 (en) | 2012-02-15 | 2014-09-30 | Intermolecular, Inc. | ReRAM stacks preparation by using single ALD or PVD chamber |
US8846536B2 (en) * | 2012-03-05 | 2014-09-30 | Novellus Systems, Inc. | Flowable oxide film with tunable wet etch rate |
JP5547763B2 (en) | 2012-03-16 | 2014-07-16 | 三井造船株式会社 | Plasma generating method, thin film forming method using the method, and plasma generating apparatus |
JP6125247B2 (en) | 2012-03-21 | 2017-05-10 | 株式会社日立国際電気 | Semiconductor device manufacturing method, substrate processing method, substrate processing apparatus, and program |
US8952765B2 (en) | 2012-03-23 | 2015-02-10 | Mks Instruments, Inc. | System and methods of bimodal automatic power and frequency tuning of RF generators |
US8956704B2 (en) | 2012-05-21 | 2015-02-17 | Novellus Systems, Inc. | Methods for modulating step coverage during conformal film deposition |
US8716149B2 (en) | 2012-05-29 | 2014-05-06 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits having improved spacers |
US10211310B2 (en) * | 2012-06-12 | 2019-02-19 | Novellus Systems, Inc. | Remote plasma based deposition of SiOC class of films |
US9234276B2 (en) * | 2013-05-31 | 2016-01-12 | Novellus Systems, Inc. | Method to obtain SiC class of films of desired composition and film properties |
US8962078B2 (en) | 2012-06-22 | 2015-02-24 | Tokyo Electron Limited | Method for depositing dielectric films |
US8975184B2 (en) * | 2012-07-27 | 2015-03-10 | Novellus Systems, Inc. | Methods of improving tungsten contact resistance in small critical dimension features |
US20140030444A1 (en) | 2012-07-30 | 2014-01-30 | Novellus Systems, Inc. | High pressure, high power plasma activated conformal film deposition |
US8993058B2 (en) * | 2012-08-28 | 2015-03-31 | Applied Materials, Inc. | Methods and apparatus for forming tantalum silicate layers on germanium or III-V semiconductor devices |
KR20140028992A (en) * | 2012-08-31 | 2014-03-10 | 에스케이하이닉스 주식회사 | Semiconductor device with tungsten gate electrode and method for fabricating the same |
US8795774B2 (en) | 2012-09-23 | 2014-08-05 | Rohm And Haas Electronic Materials Llc | Hardmask |
TWI595112B (en) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | Sub-saturated atomic layer deposition and conformal film deposition |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
US20140199854A1 (en) * | 2013-01-16 | 2014-07-17 | United Microelectronics Corp. | Method of forming film on different surfaces |
US8846550B1 (en) | 2013-03-14 | 2014-09-30 | Asm Ip Holding B.V. | Silane or borane treatment of metal thin films |
US20140271097A1 (en) | 2013-03-15 | 2014-09-18 | Applied Materials, Inc. | Processing systems and methods for halide scavenging |
US9123651B2 (en) | 2013-03-27 | 2015-09-01 | Lam Research Corporation | Dense oxide coated component of a plasma processing chamber and method of manufacture thereof |
US9012336B2 (en) | 2013-04-08 | 2015-04-21 | Applied Materials, Inc. | Method for conformal treatment of dielectric films using inductively coupled plasma |
US9136340B2 (en) * | 2013-06-05 | 2015-09-15 | Taiwan Semiconductor Manufacturing Co., Ltd. | Doped protection layer for contact formation |
CN104347421A (en) | 2013-08-07 | 2015-02-11 | 中芯国际集成电路制造(北京)有限公司 | Method for forming finned field-effect transistor (FET) |
KR102081195B1 (en) | 2013-08-28 | 2020-02-25 | 삼성전자주식회사 | Semiconductor Memory Device And Method Of Fabricating The Same |
US9564361B2 (en) | 2013-09-13 | 2017-02-07 | Qualcomm Incorporated | Reverse self aligned double patterning process for back end of line fabrication of a semiconductor device |
US10453675B2 (en) * | 2013-09-20 | 2019-10-22 | Versum Materials Us, Llc | Organoaminosilane precursors and methods for depositing films comprising same |
US9368348B2 (en) | 2013-10-01 | 2016-06-14 | Taiwan Semiconductor Manufacturing Company, Ltd. | Self-aligned patterning process |
US20150109814A1 (en) | 2013-10-17 | 2015-04-23 | Nanosys, Inc. | Light emitting diode (led) devices |
US20150118863A1 (en) * | 2013-10-25 | 2015-04-30 | Lam Research Corporation | Methods and apparatus for forming flowable dielectric films having low porosity |
WO2015072589A1 (en) | 2013-11-13 | 2015-05-21 | L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude | Group 5 transition metal-containing compounds for vapor deposition of group 5 transition metal-containing films |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
TWI480415B (en) | 2013-11-27 | 2015-04-11 | Ind Tech Res Inst | A muti-mode membrane deposition apparatus and a membrane deposition method |
US20150159271A1 (en) | 2013-12-09 | 2015-06-11 | Veeco Ald Inc. | Deposition of non-isostructural layers for flexible substrate |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
CN103928396A (en) | 2014-04-08 | 2014-07-16 | 上海华力微电子有限公司 | Method for expanding opening of groove |
US9305837B2 (en) | 2014-04-10 | 2016-04-05 | Taiwan Semiconductor Manufacturing Company Limited | Semiconductor arrangement and formation thereof |
US9543375B2 (en) | 2014-06-27 | 2017-01-10 | Taiwan Semiconductor Manufacturing Co., Ltd. | MIM/RRAM structure with improved capacitance and reduced leakage current |
KR102421846B1 (en) | 2014-08-22 | 2022-07-15 | 램 리써치 코포레이션 | Sub-pulsing during a state |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
CN105719954B (en) | 2014-12-04 | 2018-09-07 | 中芯国际集成电路制造(上海)有限公司 | The forming method of semiconductor structure |
US9620377B2 (en) | 2014-12-04 | 2017-04-11 | Lab Research Corporation | Technique to deposit metal-containing sidewall passivation for high aspect ratio cylinder etch |
US9496169B2 (en) | 2015-02-12 | 2016-11-15 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of forming an interconnect structure having an air gap and structure thereof |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US9406693B1 (en) | 2015-04-20 | 2016-08-02 | Sandisk Technologies Llc | Selective removal of charge-trapping layer for select gate transistors and dummy memory cells in 3D stacked memory |
US9502428B1 (en) | 2015-04-29 | 2016-11-22 | Sandisk Technologies Llc | Sidewall assisted process for wide and narrow line formation |
US9299830B1 (en) | 2015-05-07 | 2016-03-29 | Texas Instruments Incorporated | Multiple shielding trench gate fet |
US20160329206A1 (en) | 2015-05-08 | 2016-11-10 | Lam Research Corporation | Methods of modulating residual stress in thin films |
US10378107B2 (en) | 2015-05-22 | 2019-08-13 | Lam Research Corporation | Low volume showerhead with faceplate holes for improved flow uniformity |
US9653571B2 (en) | 2015-06-15 | 2017-05-16 | International Business Machines Corporation | Freestanding spacer having sub-lithographic lateral dimension and method of forming same |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
CN106373880B (en) | 2015-07-22 | 2021-05-25 | 联华电子股份有限公司 | Semiconductor device and method for forming the same |
US9523148B1 (en) | 2015-08-25 | 2016-12-20 | Asm Ip Holdings B.V. | Process for deposition of titanium oxynitride for use in integrated circuit fabrication |
US9768272B2 (en) | 2015-09-30 | 2017-09-19 | International Business Machines Corporation | Replacement gate FinFET process using a sit process to define source/drain regions, gate spacers and a gate cavity |
KR102250656B1 (en) | 2015-10-08 | 2021-05-11 | 삼성전자주식회사 | Method of forming patterns for semiconductor device |
US9455138B1 (en) * | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10703915B2 (en) | 2016-09-19 | 2020-07-07 | Versum Materials Us, Llc | Compositions and methods for the deposition of silicon oxide films |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR102251040B1 (en) * | 2017-10-24 | 2021-05-12 | (주)덕산테코피아 | Aminosilane Precursor Compound and Thin Film Forming Method Using The Same |
-
2015
- 2015-03-20 US US14/664,545 patent/US10566187B2/en active Active
-
2016
- 2016-03-17 KR KR1020160032037A patent/KR20160113014A/en not_active IP Right Cessation
- 2016-03-18 SG SG10201602127RA patent/SG10201602127RA/en unknown
- 2016-03-18 SG SG10201908096U patent/SG10201908096UA/en unknown
- 2016-03-18 TW TW105108365A patent/TWI718131B/en active
- 2016-03-21 CN CN201610160517.6A patent/CN105990108B/en active Active
-
2019
- 2019-06-28 US US16/457,635 patent/US11101129B2/en active Active
-
2020
- 2020-01-10 US US16/740,075 patent/US11670503B2/en active Active
-
2021
- 2021-07-16 US US17/305,938 patent/US11646198B2/en active Active
- 2021-12-08 KR KR1020210174878A patent/KR20210152447A/en not_active IP Right Cessation
-
2023
- 2023-03-22 US US18/188,325 patent/US20230298884A1/en active Pending
Also Published As
Publication number | Publication date |
---|---|
KR20160113014A (en) | 2016-09-28 |
CN105990108B (en) | 2020-05-01 |
US20190378710A1 (en) | 2019-12-12 |
SG10201602127RA (en) | 2016-10-28 |
TW201700786A (en) | 2017-01-01 |
US20210343520A1 (en) | 2021-11-04 |
US11646198B2 (en) | 2023-05-09 |
US10566187B2 (en) | 2020-02-18 |
US20230298884A1 (en) | 2023-09-21 |
US11101129B2 (en) | 2021-08-24 |
US20160276148A1 (en) | 2016-09-22 |
US20200152446A1 (en) | 2020-05-14 |
US11670503B2 (en) | 2023-06-06 |
KR20210152447A (en) | 2021-12-15 |
CN105990108A (en) | 2016-10-05 |
TWI718131B (en) | 2021-02-11 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
SG10201908096UA (en) | Ultrathin atomic layer deposition film accuracy thickness control | |
MX2023012571A (en) | Perovskite material layer processing. | |
TW201612352A (en) | Method for hydrophobization of surface of silicon-containing film by ALD | |
BR112016020156A2 (en) | and methods for fabricating and forming a thin optical film device | |
MY198714A (en) | Photovoltaic devices and method of manufacturing | |
EP3807448C0 (en) | Rotary reactor for uniform particle coating with thin films | |
SG10201700452RA (en) | High temperature atomic layer deposition of silicon-containing films | |
SG10201805222PA (en) | Monitoring system for deposition and method of operation thereof | |
SG10201804322UA (en) | Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications | |
MX2015009065A (en) | Process for obtaining a substrate equipped with a coating. | |
TW201612963A (en) | Method of manufacturing semiconductor device, method of processing substrate, substrate processing apparatus and computer-readable recording medium | |
WO2016064860A3 (en) | Composition for forming a patterned metal film on a substrate | |
SG11202103381YA (en) | Antifouling coating composition, antifouling coating film, substrate with antifouling coating film and method for producing same | |
EP3783130A4 (en) | Source material for thin film formation for atomic layer deposition and method for producing thin film | |
TW201612987A (en) | Method for manufacturing semiconductor device | |
SG10201808148QA (en) | Method of manufacturing semiconductor device, substrate processing apparatus and program | |
TW201614713A (en) | Carbon and/or nitrogen incorporation in silicon-based films using silicon precursors with organic co-reactants by pe-ald | |
PL412520A1 (en) | Method for producing graphene film with set number of graphene layers | |
TW201612956A (en) | Method of depositing a layer, method of manufacturing a transistor, layer stack for an electronic device, and an electronic device | |
MX2015014977A (en) | Method of manufacturing multi-layer thin film, member including the same and electronic product including the same. | |
JP2014199789A5 (en) | ||
EP3914751A4 (en) | Process for pulsed thin film deposition | |
TW201614101A (en) | Film forming apparatus, susceptor, and film forming method | |
SG11202102152WA (en) | Gas intake device for atomic layer deposition process, and atomic layer deposition apparatus | |
EP3444376A3 (en) | Method for forming metal oxide layer, and plasma-enhanced chemical vapor deposition device |