KR940022725A - 에칭방법 - Google Patents

에칭방법 Download PDF

Info

Publication number
KR940022725A
KR940022725A KR1019940005536A KR19940005536A KR940022725A KR 940022725 A KR940022725 A KR 940022725A KR 1019940005536 A KR1019940005536 A KR 1019940005536A KR 19940005536 A KR19940005536 A KR 19940005536A KR 940022725 A KR940022725 A KR 940022725A
Authority
KR
South Korea
Prior art keywords
gas
etching method
etching
group
halogenated
Prior art date
Application number
KR1019940005536A
Other languages
English (en)
Other versions
KR100274306B1 (ko
Inventor
고이치로 이나자와
사치코 후루야
마사히로 오가사와라
지시오 고시미즈
데쓰군 소
요이치 아라키
Original Assignee
이노우에 아키라
도오교오 에레구토론 가부시끼가이샤
이노우에 쥰이치
도오교오 에레구토론 야마나시 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP5085494A external-priority patent/JPH06275567A/ja
Priority claimed from JP12849593A external-priority patent/JP3157650B2/ja
Application filed by 이노우에 아키라, 도오교오 에레구토론 가부시끼가이샤, 이노우에 쥰이치, 도오교오 에레구토론 야마나시 가부시끼가이샤 filed Critical 이노우에 아키라
Publication of KR940022725A publication Critical patent/KR940022725A/ko
Application granted granted Critical
Publication of KR100274306B1 publication Critical patent/KR100274306B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 웨이퍼와 같은 피처리체를 에칭처리하기 위하여, 진공처리실내에 피처리체를 넣고, 진공처리실 내에 에칭가스를 도입하며, 고주파 전원에 의하여 처리실 내의 1쌍의 전극 사이에 전력을 인가한다. 에칭가스로서는, H를 포함하지 않은, 적어도 4족과 7족의 원소를 함유하는 가스와 CO의 혼합가스가 사용된다. 4족과 7족의 원소를 함유하는 가스로서는, 할로겐화 탄소, 전형적으로는 C4F와 같은 불화탄소가 사용된다. CO는 에칭가스의 50% 이상 포함시키는 것이 좋다. 에칭가스에는, 약 86% 이상의 불활성 가스, 예를들면 Ar,Xe,Kr등이나 또 N2, O2를 첨가하는 것이 바람직하다. 상기와 같은 에칭가스의 사용에 의하여 높은 에칭 선택비가 얻어지며, 팬스의 형성이 방지된다.

Description

에칭방법
본 내용은 요부공개 건이므로 전문내용을 수록하지 않았음
제1도는 본 발명의 에칭방법을 실시하기 위한 장치의 일예로서의 마그네트론 RIE 장치의 종단면도.
제2도는 에칭방법을 실시하는 피처리체로서의, 바탕막이 Si인 반도체 웨이퍼의 단면부분도.
제3도는 에칭방법을 실시하는 피처리체로서의, 바탕막이 Al인 반도체 웨이퍼의 단면부분도.
제4도는 에칭방법을 실시하는 피처리체로서의, 바탕막이 Si3N4인 반도체 웨이퍼의 단면부분도.

Claims (29)

  1. 처리실 내에 피처리체를 놓고, 처리실 내에 진공을 형성하며, 처리실 내에 에칭가스를 도입하여 피처리체에 대하여 에칭을 실시하는 방법으로서, 상기 에칭가스로서 H를 포함하지 않는, 적어도 4족과 7족의 원소를 함유하는 가스와 CO와의 혼합가스를 사용하는 것을 특징으로 하는 에칭방법.
  2. 제1항에있어서, 적어도 4족과 7족의 원소를 함유하는 상기 가스는, 할로겐화 탄소계 가스인 것을 특징으로 하는 에칭방법.
  3. 제2항에 있어서, 상기 할로겐화 탄소계 가스는, 포화 불화 탄소 화합물, 불포화 불화 탄소 화합물, 포화 혼성 할로겐화 탄소 화합물, 불포화 혼성 할로겐화 화합물로 이루어지는 군중에서 선택된 것인 것을 특징으로 하는 에칭방법.
  4. 제2항에 있어서, 상기 할로겐화 탄소계 가스는, CxFy계 가스로서, y≤2x+2의 관계를 가지는 것인 것을 특징으로 하는 에칭방법.
  5. 제4항에 있어서, 상기 x는, 1에서 12인 것을 특징으로 하는 에칭방법.
  6. 제4항에 있어서, 상기 할로겐화 탄소계 가스는, C4F8인 것을 특징으로 하는 에칭방법.
  7. 제1항에 있어서, 상기 에칭가스 중의 CO 함유량은, 50% 이상 100% 미만인 것을 특징으로 하는 에칭방법.
  8. 제1항에 있어서, 상기 에칭가스 중의 CO의 함유량은, 75% 이상 100% 미만인 것을 특징으로 하는 에칭방법.
  9. 처리실 내에 피처리체를 놓고, 처리실 내에 진공을 형성하며, 처리실 내에 가스를 도입하여 피처리체에 대하여 에칭을 실시하는 방법으로서, 상기 가스로서 H를 포함하지 않는, 적어도 4족과 7족과 원소를 함유하는 가스와 CO와의 혼합물인 에칭가스와, 이 에칭가스의 약 86% 이상의 불활성 가스와의 혼합가스를 사용하는 것을 특징으로 하는 에칭방법.
  10. 제9항에 있어서, 불활성 가스는, Ar인 것을 특징으로 하는 에칭방법.
  11. 제9항에 있어서, 불활성 가스는, N2인 것을 특징으로 하는 에칭방법.
  12. 제9항에 있어서, 적어도 4족과 7족의 원소를 함유하는 상기 가스는, 할로겐화 탄소계 가스인 것을 특징으로 하는 에칭방법.
  13. 제12항에 있어서, 상기 할로겐화 탄소계 가스는, 포화 불화 탄소 화합물, 불포화 불화 탄소 화합물, 포화 혼성 할로겐화 탄소 화합물, 불포화 혼성 할로겐화 화합물로 이루어지는 군중에서 선택된 것인 것을 특징으로 하는 에칭방법.
  14. 제12항에 있어서, 상기 할로겐화 탄소계 가스는, CxFy계 가스로서, y≤2x+2의 관계를 가지는 것인 것을 특징으로 하는 에칭방법.
  15. 제14항에 있어서, 상기 x는, 1에서 12인 것을 특징으로 하는 에칭방법.
  16. 제12항에 있어서, 상기 할로겐화 탄소계 가스는, C4F8인 것을 특징으로 하는 에칭방법.
  17. 제11항에 있어서, 상기 에칭가스 중의 CO의 함유량은, 50% 이상 100 미만인 것을 특징으로 하는 에칭방법.
  18. 제11항에 있어서, 상기 에칭가스 중의 CO의 함유량은, 75% 이상 100% 미만인 것을 특징으로 하는 에칭방법.
  19. 처리실 내에 피처리체를 놓고, 처리실 내에 진공을 형성하며, 처리실 내에 가스를 도입하여 피처리체에 대하여 에칭을 실시하는 방법으로서, 상기 가스로서 H를 포함하지 않는다. 적어도 4족과 7족의 원소를 함유하는 가스와 CO와의 혼합물인 에칭가스와, 이 에칭가스의 약 86% 이상의 불활성 가스와, O를 함유하는 가스와의 혼합가스를 사용하는 것을 특징으로 하는 에칭방법.
  20. 제19항에 있어서, 산소를 함유하는 가스가 O2인 것을 특징으로 하는 에칭방법.
  21. 제19항에 있어서, 적어도 4족과 7족의 원소를 함유하는 상기 가스는, 할로겐화 탄소계 가스인 것을 특징으로 하는 에칭방법.
  22. 제21항에 있어서, 상기 할로겐화 탄소계 가스는, 포화 불화 탄소 화합물, 불포화 불화 탄소 화합물, 포화 혼성 할로겐화 탄소 화합물, 불포화 혼성 할로겐화 화합물로 이루어지는 군중에서 선택된 것인 것을 특징으로 하는 에칭방법.
  23. 제21항에 있어서, 상기 할로겐화 탄소계 가스는, CxFy계 가스로서, y≤2x+2의 관계를 가지는 것인 것을 특징으로 하는 에칭방법.
  24. 제21항에 있어서, 상기 할로겐화 탄소계가스는, C4F8인 것을 특징으로 하는 에칭방법.
  25. 제19항에 있어서, 상기 에칭가스 중의 CO의 함유량은, 50% 이상 100% 미만인 것을 특징으로 하는 에칭방법.
  26. 제19항에 있어서, 상기 에칭가스 중의 CO의 함유량은, 75% 이상 100% 미만인 것을 특징으로 하는 에칭방법.
  27. 제19항에 있어서, 상기 산소를 함유하는 가스는, 10sccm 이하의 양(量)인 것을 특징으로 하는 에칭방법.
  28. 제1항에 있어서, 고주파 전력에 의하여 발생시킨 교번(交番) 전계와 자장에 의하여 헬리콘 파(波)를 발생시키며, 그 헬리콘파의 에너지를 사용하여 플라즈마를 발생시키고, 이 플라즈마를 가지는 분위기에서 피처리체에 에칭을 실시하며, 피처리체의 근방에서 전자 사이클로트론 공명영역을 형성하는 것을 특징으로 하는 에칭방법.
  29. 제1항에 있어서, 보조 자장 형성수단에 의하여, 상기 전자 사이클로트론 공명영역이 형성되는 것을 특징으로 하는 에칭방법.
    ※ 참고사항 : 최초출원 내용에 의하여 공개하는 것임.
KR1019940005536A 1993-03-19 1994-03-19 에칭방법 KR100274306B1 (ko)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
JP5085494A JPH06275567A (ja) 1993-03-19 1993-03-19 プラズマ装置
JP93-85494 1993-03-19
JP9855393 1993-03-31
JP93-98553 1993-03-31
JP93-128495 1993-04-28
JP12849593A JP3157650B2 (ja) 1993-04-28 1993-04-28 プラズマ処理方法

Publications (2)

Publication Number Publication Date
KR940022725A true KR940022725A (ko) 1994-10-21
KR100274306B1 KR100274306B1 (ko) 2000-12-15

Family

ID=27304880

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1019940005536A KR100274306B1 (ko) 1993-03-19 1994-03-19 에칭방법

Country Status (2)

Country Link
US (1) US5770098A (ko)
KR (1) KR100274306B1 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100801768B1 (ko) * 2005-03-25 2008-02-11 동경 엘렉트론 주식회사 에칭 방법 및 에칭 장치
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus

Families Citing this family (81)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6165311A (en) 1991-06-27 2000-12-26 Applied Materials, Inc. Inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6238588B1 (en) * 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
US6048798A (en) 1996-06-05 2000-04-11 Lam Research Corporation Apparatus for reducing process drift in inductive coupled plasma etching such as oxide layer
EP0820115B1 (en) 1996-07-15 2004-05-12 Matsushita Electric Industrial Co., Ltd. Dielectric laminated device and its manufacturing method
US5930585A (en) * 1996-07-23 1999-07-27 International Business Machines Corporation Collar etch method to improve polysilicon strap integrity in DRAM chips
KR100510158B1 (ko) * 1996-10-30 2005-08-25 고교기쥬쯔잉초가다이효스루니혼고쿠 드라이 에칭용 가스 조성물 및 드라이 에칭 방법
EP0964438B1 (en) * 1996-10-30 2007-01-10 Japan as represented by Director-General, Agency of Industrial Science and Technology Dry etching method
JP3798491B2 (ja) * 1997-01-08 2006-07-19 東京エレクトロン株式会社 ドライエッチング方法
US6210539B1 (en) 1997-05-14 2001-04-03 Applied Materials, Inc. Method and apparatus for producing a uniform density plasma above a substrate
US6077402A (en) * 1997-05-16 2000-06-20 Applied Materials, Inc. Central coil design for ionized metal plasma deposition
US6361661B2 (en) 1997-05-16 2002-03-26 Applies Materials, Inc. Hybrid coil design for ionized deposition
US6051504A (en) * 1997-08-15 2000-04-18 International Business Machines Corporation Anisotropic and selective nitride etch process for high aspect ratio features in high density plasma
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
US6183655B1 (en) * 1997-09-19 2001-02-06 Applied Materials, Inc. Tunable process for selectively etching oxide using fluoropropylene and a hydrofluorocarbon
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
JP3905232B2 (ja) * 1997-12-27 2007-04-18 東京エレクトロン株式会社 エッチング方法
US5970376A (en) * 1997-12-29 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Post via etch plasma treatment method for forming with attenuated lateral etching a residue free via through a silsesquioxane spin-on-glass (SOG) dielectric layer
US6506287B1 (en) 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
US6602434B1 (en) 1998-03-27 2003-08-05 Applied Materials, Inc. Process for etching oxide using hexafluorobutadiene or related fluorocarbons and manifesting a wide process window
US6174451B1 (en) 1998-03-27 2001-01-16 Applied Materials, Inc. Oxide etch process using hexafluorobutadiene and related unsaturated hydrofluorocarbons
US6387287B1 (en) 1998-03-27 2002-05-14 Applied Materials, Inc. Process for etching oxide using a hexafluorobutadiene and manifesting a wide process window
JPH11354499A (ja) * 1998-04-07 1999-12-24 Oki Electric Ind Co Ltd コンタクトホール等の形成方法
US6146508A (en) * 1998-04-22 2000-11-14 Applied Materials, Inc. Sputtering method and apparatus with small diameter RF coil
US6660134B1 (en) 1998-07-10 2003-12-09 Applied Materials, Inc. Feedthrough overlap coil
US6297163B1 (en) 1998-09-30 2001-10-02 Lam Research Corporation Method of plasma etching dielectric materials
US6473966B1 (en) * 1999-02-01 2002-11-05 Casio Computer Co., Ltd. Method of manufacturing ink-jet printer head
US6374831B1 (en) * 1999-02-04 2002-04-23 Applied Materials, Inc. Accelerated plasma clean
US6849193B2 (en) * 1999-03-25 2005-02-01 Hoiman Hung Highly selective process for etching oxide over nitride using hexafluorobutadiene
US6544429B1 (en) * 1999-03-25 2003-04-08 Applied Materials Inc. Enhancement of silicon oxide etch rate and substrate selectivity with xenon addition
US6797189B2 (en) * 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
US6749763B1 (en) 1999-08-02 2004-06-15 Matsushita Electric Industrial Co., Ltd. Plasma processing method
US6565759B1 (en) 1999-08-16 2003-05-20 Vanguard International Semiconductor Corporation Etching process
TW455948B (en) * 1999-09-13 2001-09-21 Motorola Inc Process for etching an insulating layer and forming a semiconductor device
US6268293B1 (en) * 1999-11-18 2001-07-31 International Business Machines Corporation Method of forming wires on an integrated circuit chip
US6432318B1 (en) * 2000-02-17 2002-08-13 Applied Materials, Inc. Dielectric etch process reducing striations and maintaining critical dimensions
US6451703B1 (en) 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6500356B2 (en) * 2000-03-27 2002-12-31 Applied Materials, Inc. Selectively etching silicon using fluorine without plasma
US20030010354A1 (en) * 2000-03-27 2003-01-16 Applied Materials, Inc. Fluorine process for cleaning semiconductor process chamber
JP3433721B2 (ja) * 2000-03-28 2003-08-04 ティーディーケイ株式会社 ドライエッチング方法及び微細加工方法
US6362109B1 (en) * 2000-06-02 2002-03-26 Applied Materials, Inc. Oxide/nitride etching having high selectivity to photoresist
DE10053780A1 (de) * 2000-10-30 2002-05-16 Infineon Technologies Ag Verfahren zur Strukturierung einer Siliziumoxid-Schicht
US6797639B2 (en) * 2000-11-01 2004-09-28 Applied Materials Inc. Dielectric etch chamber with expanded process window
KR100874813B1 (ko) 2000-11-08 2008-12-19 다이킨 고교 가부시키가이샤 드라이 에칭 가스 및 드라이 에칭 방법
US6686296B1 (en) 2000-11-28 2004-02-03 International Business Machines Corp. Nitrogen-based highly polymerizing plasma process for etching of organic materials in semiconductor manufacturing
US6633132B2 (en) * 2001-01-23 2003-10-14 Wafermasters Inc. Plasma gereration apparatus and method
AU2002240097A1 (en) * 2001-02-14 2002-08-28 Advanced Micro Devices, Inc. Method and apparatus for controlling etch selectivity
JP2002305179A (ja) * 2001-04-05 2002-10-18 Matsushita Electric Ind Co Ltd プラズマ処理方法
US7159597B2 (en) * 2001-06-01 2007-01-09 Applied Materials, Inc. Multistep remote plasma clean process
US6508948B2 (en) 2001-06-13 2003-01-21 Air Products And Chemicals, Inc. Cyanuric fluoride and related compounds for anisotropic etching
US6868856B2 (en) * 2001-07-13 2005-03-22 Applied Materials, Inc. Enhanced remote plasma cleaning
JP2003243361A (ja) * 2002-02-14 2003-08-29 Tokyo Electron Ltd プラズマエッチング方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US6686293B2 (en) * 2002-05-10 2004-02-03 Applied Materials, Inc Method of etching a trench in a silicon-containing dielectric material
US20040231798A1 (en) * 2002-09-13 2004-11-25 Applied Materials, Inc. Gas delivery system for semiconductor processing
US6897128B2 (en) * 2002-11-20 2005-05-24 Matsushita Electric Industrial Co., Ltd. Method of manufacturing semiconductor device, plasma processing apparatus and plasma processing method
WO2004074932A2 (en) * 2003-02-14 2004-09-02 Applied Materials, Inc. Method and apparatus for cleaning of native oxides with hydroge-containing radicals
US7037376B2 (en) * 2003-04-11 2006-05-02 Applied Materials Inc. Backflush chamber clean
US7079370B2 (en) * 2003-04-28 2006-07-18 Air Products And Chemicals, Inc. Apparatus and method for removal of surface oxides via fluxless technique electron attachment and remote ion generation
TW200511430A (en) * 2003-05-29 2005-03-16 Tokyo Electron Ltd Plasma processing apparatus and plasma processing method
US7067433B2 (en) * 2003-11-12 2006-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method to reduce the fluorine contamination on the Al/Al-Cu pad by a post high cathod temperature plasma treatment
US7431772B2 (en) * 2004-03-09 2008-10-07 Applied Materials, Inc. Gas distributor having directed gas flow and cleaning method
US20060021633A1 (en) * 2004-07-27 2006-02-02 Applied Materials, Inc. Closed loop clean gas control
US7069098B2 (en) * 2004-08-02 2006-06-27 Advanced Micro Devices, Inc. Method and system for prioritizing material to clear exception conditions
US7794616B2 (en) * 2004-08-09 2010-09-14 Tokyo Electron Limited Etching gas, etching method and etching gas evaluation method
US7076321B2 (en) * 2004-10-05 2006-07-11 Advanced Micro Devices, Inc. Method and system for dynamically adjusting metrology sampling based upon available metrology capacity
US7296103B1 (en) 2004-10-05 2007-11-13 Advanced Micro Devices, Inc. Method and system for dynamically selecting wafer lots for metrology processing
KR100596795B1 (ko) * 2004-12-16 2006-07-05 주식회사 하이닉스반도체 반도체 소자의 캐패시터 및 그 형성방법
US20060162661A1 (en) * 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
KR100909750B1 (ko) * 2005-03-01 2009-07-29 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반도체 디바이스의 제조 방법
DE102006008864B4 (de) * 2006-02-25 2013-08-22 Deutsches Zentrum für Luft- und Raumfahrt e.V. Strömungsflächenelement
WO2007111348A1 (ja) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. 基板処理装置
JP5568212B2 (ja) * 2007-09-19 2014-08-06 株式会社日立国際電気 基板処理装置、そのコーティング方法、基板処理方法及び半導体デバイスの製造方法
JP5164107B2 (ja) * 2008-07-01 2013-03-13 株式会社ユーテック プラズマcvd装置、薄膜の製造方法及び磁気記録媒体の製造方法
US7967913B2 (en) * 2008-10-22 2011-06-28 Applied Materials, Inc. Remote plasma clean process with cycled high and low pressure clean steps
US20100270262A1 (en) * 2009-04-22 2010-10-28 Applied Materials, Inc. Etching low-k dielectric or removing resist with a filtered ionized gas
US20110073136A1 (en) * 2009-09-10 2011-03-31 Matheson Tri-Gas, Inc. Removal of gallium and gallium containing materials
JP5563860B2 (ja) * 2010-03-26 2014-07-30 東京エレクトロン株式会社 基板処理方法
US8603363B1 (en) * 2012-06-20 2013-12-10 Praxair Technology, Inc. Compositions for extending ion source life and improving ion source performance during carbon implantation
US11938564B2 (en) * 2021-04-13 2024-03-26 Saudi Arabian Oil Company Systems and methods for generating plasma shields

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4069096A (en) * 1975-11-03 1978-01-17 Texas Instruments Incorporated Silicon etching process
US4176004A (en) * 1978-08-21 1979-11-27 Westinghouse Electric Corp. Method for modifying the characteristics of a semiconductor fusions
JPS5730292A (en) * 1980-07-31 1982-02-18 Kouji Sakai Flasher
JPH07118474B2 (ja) * 1984-12-17 1995-12-18 ソニー株式会社 エツチングガス及びこれを用いたエツチング方法
US4726879A (en) * 1986-09-08 1988-02-23 International Business Machines Corporation RIE process for etching silicon isolation trenches and polycides with vertical surfaces
US5108542A (en) * 1990-08-23 1992-04-28 Hewlett Packard Company Selective etching method for tungsten and tungsten alloys
US5356515A (en) * 1990-10-19 1994-10-18 Tokyo Electron Limited Dry etching method
JP3002033B2 (ja) * 1991-09-27 2000-01-24 株式会社東芝 ドライエッチング方法
JP2687787B2 (ja) * 1991-10-02 1997-12-08 ソニー株式会社 ドライエッチング方法
JP3179872B2 (ja) * 1991-12-19 2001-06-25 東京エレクトロン株式会社 エッチング方法
US5380401A (en) * 1993-01-14 1995-01-10 Micron Technology, Inc. Method to remove fluorine residues from bond pads
US5399237A (en) * 1994-01-27 1995-03-21 Applied Materials, Inc. Etching titanium nitride using carbon-fluoride and carbon-oxide gas

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100801768B1 (ko) * 2005-03-25 2008-02-11 동경 엘렉트론 주식회사 에칭 방법 및 에칭 장치
US7674393B2 (en) 2005-03-25 2010-03-09 Tokyo Electron Limited Etching method and apparatus
US8361275B2 (en) 2005-03-25 2013-01-29 Tokyo Electron Limited Etching apparatus
US8986493B2 (en) 2005-03-25 2015-03-24 Tokyo Electron Limited Etching apparatus

Also Published As

Publication number Publication date
US5770098A (en) 1998-06-23
KR100274306B1 (ko) 2000-12-15

Similar Documents

Publication Publication Date Title
KR940022725A (ko) 에칭방법
US4826585A (en) Plasma processing apparatus
US5454903A (en) Plasma cleaning of a CVD or etch reactor using helium for plasma stabilization
US4615764A (en) SF6/nitriding gas/oxidizer plasma etch system
KR900014636A (ko) 시료처리 방법 및 장치
KR930017103A (ko) 드라이 에칭 방법 및 그 장치
GB2069936A (en) Two-step plasma etching process
KR950703074A (ko) 박막형성방법
EP0004285A1 (en) A method of plasma etching silica at a faster rate than silicon in an article comprising both
KR20190008227A (ko) 에칭 방법
JPS5713743A (en) Plasma etching apparatus and etching method
KR930003790A (ko) 마그네트론 플라즈마 처리장치
JPH07263408A (ja) プラズマエッチング方法
KR970063465A (ko) 텅스텐 화학기상증착 반응실에서의 식각 방법
JPS6113634A (ja) プラズマ処理装置
Pejović et al. Influence of electrode material on time delay of electrical breakdown in gases
JPH0568874A (ja) プラズマ撥水処理方法およびその装置
JPS572585A (en) Forming method for aluminum electrode
JPH0885885A (ja) マイクロ波プラズマ装置のクリーニング方法
KR100551392B1 (ko) 드라이 에칭방법 및 장치
JPH04106922A (ja) ドライエツチング方法
JPS5629328A (en) Plasma etching method
JPS57181378A (en) Dry etching method
KR940008365B1 (ko) 반도체 기판의 표면청정방법
GB2008464A (en) Improvements in methods of operating and treating evacuated chambers

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20110811

Year of fee payment: 12

FPAY Annual fee payment

Payment date: 20120821

Year of fee payment: 13

LAPS Lapse due to unpaid annual fee