KR20220125292A - 무선 주파수 플라스마 프로세싱 시스템에 대한 균일성 제어 - Google Patents

무선 주파수 플라스마 프로세싱 시스템에 대한 균일성 제어 Download PDF

Info

Publication number
KR20220125292A
KR20220125292A KR1020227026947A KR20227026947A KR20220125292A KR 20220125292 A KR20220125292 A KR 20220125292A KR 1020227026947 A KR1020227026947 A KR 1020227026947A KR 20227026947 A KR20227026947 A KR 20227026947A KR 20220125292 A KR20220125292 A KR 20220125292A
Authority
KR
South Korea
Prior art keywords
sensors
plasma
reaction chamber
radio frequency
pedestal
Prior art date
Application number
KR1020227026947A
Other languages
English (en)
Inventor
스티븐 이. 사바스
샹브리에 알렉산드르 드
Original Assignee
코멧 테크놀로지스 유에스에이, 인크.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 코멧 테크놀로지스 유에스에이, 인크. filed Critical 코멧 테크놀로지스 유에스에이, 인크.
Publication of KR20220125292A publication Critical patent/KR20220125292A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/20Means for supporting or positioning the objects or the material; Means for adjusting diaphragms or lenses associated with the support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/244Detectors; Associated components or circuits therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Abstract

무선 주파수 플라스마 프로세싱 시스템은 반응 챔버, 반응 챔버에 배치되는 페데스탈, 및 페데스탈과 반응 챔버 사이에 환형으로 페데스탈 주위에 방위각적으로 배치되는 복수의 섹터 플레이트들을 포함한다.

Description

무선 주파수 플라스마 프로세싱 시스템에 대한 균일성 제어
관련 출원의 상호 참조
이 출원은 2020년 1월 10일에 제출된 미국 가출원 제62/959,630호 및 2021년 1월 8일에 제출된 미국 정규 특허 출원 제17/145,190호에 대한 우선권을 주장하며, 이 미국 출원들의 내용은 본 명세서에 참고로 포함된다.
무선 주파수(RF) 플라스마 강화 프로세싱은 상이한 유형들의 막들을 에칭하고, 낮은 프로세싱 온도 내지 중간 프로세싱 온도에서 박막들을 퇴적시키며, 표면 처리 및 세정을 수행하기 위해 반도체 제조에서 광범위하게 사용된다. 그러한 프로세스들의 특성은 반응 챔버 내부의 전구체들로부터 중성 화학종들 및 이온들을 생성하며, 이온 충돌을 위한 에너지를 제공하고/하거나, 다른 액션들을 수행하는 데 사용되는 플라스마, 즉 부분적으로 이온화된 가스를 사용한다는 것이다. 그러한 프로세스들 동안 플라스마 밀도들을 제어하는 데 어려움들이 있고, 반응 챔버 내의 플라스마의 불균일성은 웨이퍼 프로세싱 균일성 및 제조되는 집적 회로들 또는 다른 디바이스들의 수율에 영향을 미친다.
반응 챔버 내에서의 불균일한 플라스마 밀도들은 기판에 걸쳐 불균일한 에칭 속도들 또는 특정 특성들을 야기할 수 있다. 특정 시스템들에서는, 반응 챔버 내에서의 플라스마 밀도 균일성을 모니터링하는 것은 프로브들을 사용하여 이루어진다. 코팅들에 의존하는 그러한 프로브들은 플라스마 환경에 노출될 수 있으며 플라스마 밀도를 추론하는 데 능동 전자 장치를 사용할 수 있다. 그러한 시스템들은 플라스마의 변화들에 응답하는 데 수 밀리초 이상이 걸릴 수 있다. 방출 분광법이 또한 반응 챔버 내에서의 플라스마 밀도 프로파일을 결정하는 데 사용될 수 있지만, 그러한 시스템은 플라스마를 통과하는 다수의 가시선들을 필요로 할 수 있고 불균일성을 추론하기 위해 복잡한 분석을 사용할 수 있다. 이러한 기술들 중 어느 것도 불균일성 문제들을 효과적으로 해결할 만큼 민감하지 않고 빠르지 않으며 구현하는 데 더 많은 비용이 소요될 수 있다.
본 개시내용은 첨부 도면들과 함께 읽을 때 이하의 상세한 설명으로부터 가장 잘 이해된다. 업계에서의 표준 관행에 따라, 다양한 특징들이 일정한 축척으로 그려져 있지 않다는 점을 강조한다. 실제로, 다양한 특징들의 치수들은 논의의 명확성을 위해 임의적으로 증가되거나 감소될 수 있다.
도 1은 본 개시내용의 실시예들에 따른 RF 플라스마 프로세싱 시스템의 개략적인 측면도 표현이다.
도 2는 본 개시내용의 실시예들에 따른 고 임피던스 센서들이 전극들 상의 다양한 위치들에 장착되어 있는 플라스마 챔버의 개략적인 측면도이다.
도 3은 본 개시내용의 실시예들에 따른, 전압 신호들을 낮은 션트 커패시턴스(shunt capacitance)를 갖는 전기 커넥터들을 통해 제공하는 센서들을 갖는 이중 플레이트 전극 어셈블리의 단면도이다.
도 4는 본 개시내용의 실시예들에 따른, 고 임피던스 전압 센서가 매립된 페데스탈의 단면도이다.
도 5는 본 개시내용의 실시예들에 따른, 페데스탈의 개략적인 측면도이다.
도 6은 본 개시내용의 실시예들에 따른, 반응 챔버 내의 플라스마가 축대칭인, 페데스탈을 가로지르는 축대칭 표면파들의 전파의 평면도이다.
도 7은 본 개시내용의 실시예들에 따른, 전극을 가로지르는 횡 전자기 표면파 전파의 평면도이다.
도 8은 본 개시내용의 실시예들에 따른, 반응 챔버 상에 방위각적으로 장착된 센서들의 측단면도이다.
도 9는 본 개시내용의 실시예들에 따른, 반응 챔버 상에 방위각적으로 장착된 센서들의 측단면도이다.
도 10은 본 개시내용의 실시예들에 따른 반응 챔버의 개략적인 측단면이다.
도 11은 본 개시내용의 실시예들에 따른 반응 챔버의 개략적인 측단면이다.
도 12는 본 개시내용의 실시예들에 따른 RF 플라스마 프로세싱 시스템의 부분 단면이다.
도 13은 본 개시내용의 실시예들에 따른 RF 플라스마 프로세싱 시스템의 평면도이다.
도 14는 본 개시내용의 실시예들에 따른 RF 플라스마 프로세싱 시스템의 부분 단면도이다.
도 15는 본 개시내용의 실시예들에 따른 RF 플라스마 프로세싱 시스템의 부분 단면도이다.
도 16은 본 개시내용의 실시예들에 따른 도 13에서 처음으로 도시된 RF 플라스마 프로세싱 시스템의 부분 평면도이다.
아래에서 청구되는 주제의 예시적인 예들이 이제 개시될 것이다. 명확성을 위해, 실제 구현의 모든 특징들이 본 명세서에서 설명되는 것은 아니다. 임의의 그러한 실제 구현의 개발에서, 구현마다 달라질, 시스템 관련 및 비즈니스 관련 제약들의 준수와 같은, 개발자들의 특정 목표들을 달성하기 위해 수많은 구현 특정 결정들이 이루어질 수 있다는 것을 이해할 것이다. 더욱이, 그러한 개발 노력이, 비록 복잡하고 시간 소모적일지라도, 본 개시내용의 이점을 갖는 본 기술 분야의 통상의 기술자에게 일상적인 일이 될 것이라는 것을 이해할 것이다.
게다가, 본 명세서에서 사용되는 바와 같이, 관형사 "한"은 특허 기술 분야에서의 그의 통상적인 의미, 즉 "하나 이상의"라는 의미를 갖는 것으로 의도된다. 본 명세서에서, "약"이라는 용어는, 값에 적용될 때, 일반적으로 값을 생성하는 데 사용되는 장비의 공차 범위 내를 의미하거나, 또는 일부 예들에서, 달리 명확하게 언급되지 않는 한, ± 10%, 또는 ± 5%, 또는 ± 1%를 의미한다. 게다가, 본 명세서에서 "실질적으로"라는 용어는, 본 명세서에서 사용되는 바와 같이, 예를 들어, 대부분, 또는 거의 모든, 또는 모든, 또는 약 51% 내지 약 100% 범위의 양을 의미한다. 더욱이, 본 명세서에서의 예들은 단지 예시적인 것으로 의도되며, 제한으로서가 아니라 논의 목적으로 제시된다.
도 1을 참조하면, 본 개시내용의 실시예들에 따른, RF 플라스마 프로세싱 시스템(100)의 개략적인 측면도 표현이 도시되어 있다. RF 플라스마 프로세싱 시스템(100)은 제1 RF 생성기(105) 및 제2 RF 생성기(110), 제1 임피던스 매칭 네트워크(115), 제2 임피던스 매칭 네트워크(120), 시스(sheath)(125), 샤워헤드(130)와 같은 플라스마 전력 공급 디바이스 또는 전극과 같은 등등한 전력을 공급받는 요소(powered element), 및 페데스탈(135)을 포함한다. 본 명세서에서 사용되는 바와 같이, 플라스마 전력 디바이스들은 플라스마를 생성할 전력을 도입하는 임의의 디바이스를 지칭할 수 있고, 예를 들어, 샤워헤드(130) 및/또는 다른 유형들의 전극들은 물론, 안테나 등을 포함할 수 있다.
RF 플라스마 프로세싱 시스템(100)은 하나 이상의 임피던스 매칭 네트워크(115, 120)를 통해 반응 챔버(140)에 전력을 전달하는 하나 이상의 RF 생성기(105, 110)를 포함할 수 있다. RF 전력은 제1 RF 생성기(105)로부터 임피던스 매칭 네트워크(115)를 통해 반응 챔버(140) 내의 플라스마로 샤워헤드(130) 또는 측벽으로, 샤워헤드(130) 이외의 전극으로, 또는 플라스마에 전력을 전자기적으로 제공하는 유도성 안테나(도시되지 않음)로 흐르며, 그 후에 전력은 플라스마로부터 접지로 및/또는 페데스탈(135)로 및/또는 제2 임피던스 매칭 네트워크(120)로 흐른다. 일반적으로, 샤워헤드(130)와 제1 임피던스 매칭 네트워크(115)의 결합 임피던스가 제1 임피던스 매칭 네트워크(115) 내의 리액티브 컴포넌트들, 예를 들면, 가변 커패시터들을 조정하는 것에 의해 제1 RF 생성기(105)의 출력 임피던스, 예를 들면, 50옴과 동일하도록, 제1 임피던스 매칭 네트워크(115)는 반응 챔버(140) 내부의 부하 임피던스의 변동들을 보상한다. 추가적으로, RF 전력의 약 ±10% 범위 내에서 주파수를 조정하는 것은 반사 전력을 수정할 수 있다. "약"이라는 용어는 본 명세서에서 사용되는 바와 같이, 실제로, 범위 또는 값에 대한 약간의 부정확성이 경험될 수 있지만 만족스러운 결과를 얻을 수 있다고 인정하는 것이다. 그러한 부정확성은, 예를 들어, 작동 동안의 드리프트 또는 교정의 손실 또는 열화로 인해 발생할 수 있다. 그렇지만, 이러한 상황들에서, 표현된 범위 또는 값은 사용 중일 때 작동 조건들에 대한 공칭 목표이다.
특정 예들에서, 제1 RF 생성기(105)는 약 400 KHz와 150 MHz 사이의 RF 주파수에서 전력을 제공할 수 있는 반면, 페데스탈(135)에 연결되는 제2 RF 생성기(110)는 제1 RF 생성기(105)의 RF 주파수보다 낮은 RF 주파수에서 전력을 공급할 수 있지만, 특정 구현들에서, 제2 RF 생성기(110)는 제1 RF 생성기(105)의 RF 주파수보다 낮은 RF 주파수에서 전력을 공급하지 않을 수 있다. 전형적으로, 제1 및 제2 RF 생성기들(105, 110)의 주파수들은 제1 RF 생성기(105)가 제2 RF 생성기(110)의 주파수의 정수배가 아닌 RF 주파수에 있도록 되어 있다. 제1 및 제2 RF 생성기들(105, 110) 중 하나 이상은 또한 반사 전력을 수정하기 위해 주파수를 조정할 수 있다.
임피던스 매칭 네트워크들(115, 120)은 부하 임피던스가 소스 임피던스와 매칭하도록 그들의 내부 리액티브 요소들을 조정하도록 설계된다. 일반적으로, 낮은 반사 전력이 긍정적인 것으로 간주되지만, 본 개시내용의 실시예들은 전달된 전력이 반응 챔버(140)에서 유지되도록, 그리고 전력이 제1 및 제2 RF 생성기들(105, 110)을 향해 다시 반사되도록, 그리고 반사 전력이 상대적으로 높을 때에도, 연관된 임피던스 매칭 네트워크들(115, 120)이 반응 챔버(140)로의 순방향 전력 및 반응 챔버(140)로부터의 반사 전력을 모니터링하고, 모터 구동기 시스템들을 사용하여, 조정 가능한 리액티브 요소들, 예를 들면, 진공 가변 커패시터들을 조정할 수 있도록 보장한다. 특정 실시예들에서, 전자 가변 커패시터에 대한 핀 다이오드와 같은, 전자적으로 제어되는 커패시터가 사용될 수 있다. 임피던스 매칭 네트워크들(115, 120)은 의도된 부하로의 순방향 전력의 레벨 및 의도된 부하로부터의 반사 전력의 레벨을 결정하기 위해 신호들의 위상 및 크기를 측정하는 회로를 포함할 수 있다. 이에 따라, 본 개시내용의 실시예들은 반사 전력의 양이 높을 때에도 효과적일 수 있다. 1차 주파수(primary frequency)에서 상당한 양의 반사 전력이 있는 경우, 반사 전력이, 예를 들어, 해당 기간 동안 약 5 와트 미만 및/또는 약 1 퍼센트 미만으로, 또는 특정 실시예들에서, 1 와트 미만으로 최소화될 때까지 커패시터들이 변화된다. 전형적으로, 고조파 주파수들에서의 반사 전력을 포함하여, 고조파 주파수 신호들은 측정되지 않는다. 추가적으로, 주파수가 RF 전력의 약 ±10% 범위 내에서 조정될 수 있으며, 이는 반사 전력을 수정할 수 있다.
RF 플라스마 프로세싱 시스템들(100)은, 많은 장점들을 가지고 있지만, 역사적으로 다단계 프로세스 전반에 걸쳐 플라스마 밀도의 제어를 유지하는 데 어려움을 겪었다. 예를 들어, 공칭 값을 기준으로 동일한 정도의 밀도 범위에 대해, 1% 불균일성 정도의 설계 허용 오차들은 여전히 문제로 남아 있다. 각각의 모든 웨이퍼에서 최적의 집적 회로(IC) 수율을 달성하는 것은, 피처 크기가 약 3 nm 미만으로 줄어들고 층 두께가 약 10 nm 미만이므로, 플라스마 및 중성 화학종들의 균일성을 1% 레벨 및 심지어 더 낮은 레벨로 점진적으로 더 엄격하게 제어하는 것을 필요로 한다. 균일하지 않은 플라스마 밀도들, 또는 반응 챔버들 내에서 평균 밀도들이 원하는 값으로부터 원하는 범위 초과만큼 벗어나는 것은, 불균일한 에칭 속도들로 인해 프로세싱된 웨이퍼에 걸쳐 나노스케일 피처들의 불균일성들을 초래할 수 있는, 챔버의 느린 변화들, RF 회로의 변화들, 또는 기생 또는 2차 플라스마들의 빠른 성장(1 밀리초 미만 정도)에 의해 야기될 수 있다.
웨이퍼에 걸쳐 1%의 에칭 속도 차이라도 첨단 기술들의 경우 수율 문제들을 야기할 수 있기 때문에 그리고 웨이퍼 프로세싱을 완료하여 수율 손실을 확인하는 데 종종 상당한 양의 시간이 소요되기 때문에, 원하는 피처 프로파일로부터의 웨이퍼 상에서의 비가역적 편차들을 피하기 위해 약 10 밀리초 미만일 필요가 있을 수 있는 시간 기간 내에 반응 챔버 내에서 원하는 범위로부터 벗어나는 플라스마 밀도 또는 불균일한 플라스마 밀도들을 신속하고 정확하게 검출할 필요가 있다.
본 기술 분야의 통상의 기술자는 전자기(EM) 표면파들이 반응 챔버(140)에 있는 RF 전력을 공급받는 플라스마(RF powered plasma) 내에서 표면들 상에서 전파될 수 있음을 이해할 것이다. 이러한 표면파들은 기본파 RF 구동 주파수와 RF 고조파들 양쪽 모두에 의해 영향을 받는다. 고조파 파들의 평균 전력 및 전력 분포는 플라스마 밀도 및 균일성에 민감한 함수들이다. 본 명세서에서, 고조파 파 프로파일은 RF 플라스마 기반 반응 챔버(140)에 대한 기본 구동 주파수의 정수배들인 주파수들을 갖는 표면파들의 스펙트럼으로서 정의된다. 예를 들어, 2 MHz RF 구동 전력이 반응 챔버(140)에 제공되는 경우, 주입된 전력은 플라스마와 내부 반응 챔버(140) 표면들 사이의 계면들을 따라 전파되는 해당 주파수의 표면파들을 생성할 것이다. RF 전력의 약 ±10%만큼 주파수를 조정하는 것은 이에 의해 반사 전력을 수정할 수 있다. 정수배 주파수들의 고조파 표면파들이 또한 생성될 수 있다. 예를 들어, 2 MHz 전자기파들은 4, 6, 또는 8 MHz 표면파들을 생성할 수 있다. 홀수 및 짝수 고조파들(2차, 3차, 4차, 5차 등) 양쪽 모두가 나타날 수 있지만, 일부 예들에서는 홀수 고조파들이 우세할 수 있다.
본 개시내용의 양태들은 반응 챔버(140) 내의 또는 반응 챔버(140)에 인접한 복수의 지점들에서 기본파 및 고조파들의 진폭들 및 위상들을 발견하기 위해 RF 표면파들을 검출 및 분석하는 것을 가능하게 할 수 있는 반응 챔버(140) 및 그의 컴포넌트들 상에 및 그 주위에 센서 위치들을 제공할 수 있다. 파들은 챔버 컴포넌트의 표면 상의 표면 전압 또는 표면 전류를 감지하는 것에 의해 검출될 수 있다. 일부 실시예들에서, 전압에 대한 센서는 전극, 페데스탈 베이스, 챔버 벽, 또는 스트랩의 표면에 또는 이 표면에 근접하게 구성되는 픽업, 및 신호를 커넥터 또는 케이블에 전달하는 전도성 라인을 포함할 것이다. 전류 센서는 하나 이상의 루프 또는 부분 루프 또는 선형 도체를 포함할 수 있는 전도성 요소를 포함할 수 있으며, 여기서 전도성 요소의 일 단부는 전기 접지일 수 있는 기준 전위에 있다.
복수의 센서들, 예를 들면, 4 개 이상의 센서는 그러한 표면파들과 연관된 표면 전압 또는 전류를 측정하기 위해 챔버 대칭 축을 중심으로 상이한 각도들로, 아래에서 상세히 논의될, 특정 챔버 컴포넌트 상에 위치될 수 있다. 본 명세서에서, 대칭 축을 중심으로 챔버의 기준점으로부터 측정되는 각도는 방위각으로서 정의된다. 일부 실시예들에서, 그러한 센서들은 챔버의 대칭 축으로부터 대략 동일한 거리에 위치될 수 있다.
센서들은 반응 챔버 및/또는 그의 컴포넌트들 상에 또는 그 주위에 다양한 위치들에 장착될 수 있다. 예를 들어, 센서들은, 페데스탈(135) 및/또는 샤워헤드(130)와 같은, 전극의 표면 상에 장착될 수 있다. 센서들은 또한 진공 환경 내부에서 또는 진공 환경 외부에서 전극의 베이스 상에 장착될 수 있다. 센서들은 반응 챔버(140)의 하나 이상의 금속 벽 표면 상에, 유전체 재료를 함유하는 벽 영역들 내부 또는 외부에, 또는 플라스마에 전력을 유도적으로 제공하기 위해 사용될 수 있는 안테나 상에 장착될 수 있다. 센서들은 또한 플라스마의 경계 상의 EM 파들을 감지하기 위해 사용될 수 있는 수동 안테나 상에 또는 제1 또는 제2 임피던스 매칭 네트워크(115, 120)를 페데스탈(135) 및/또는 샤워헤드(130)와 같은 전극, 안테나, 또는 반응 챔버(140) 내의 플라스마에 전력을 전송하는 다른 컴포넌트들에 연결시키는 복수의 전도성 버스들 또는 스트랩들 상에 또는 이들에 근접하게 배치될 수 있다. 센서들은 또한 전기 접지에 연결될 수 있다. 센서들은 이에 의해 RF 플라스마 프로세싱 시스템(100)의 상이한 부분들로부터의 신호들이 각자의 컴포넌트 표면들 상에서 전파될 때 그 신호들을 픽업할 수 있다.
RF 고조파 파들의 스펙트럼은 전극-플라스마 계면, 예를 들면, 도 1의 시스(125)에서 생성되고, 파들이 모든 방향들로 전파됨으로써 모든 파 성분들의 진폭들 및 위상들 양쪽 모두가 전극 또는 지지 베이스 상의 위치에 따라 변할 것이다. 그러한 파들은 또한 플라스마에 인접한 금속 벽의 내측 표면을 따라 전파되고 플라스마에 인접할 수 있는 임의의 유전체 벽을 통해 전파된다. 그러한 파 진폭들 및 위상들은 플라스마, 예를 들면, 플라스마 밀도 및 불균일성의 변화들에 응답하여 변하며, 응답 시간들은 수 마이크로초 정도이다. 게다가, 전극-플라스마 계면 상에서 전파되는 RF 고조파 표면파들의 주파수 및 위상 분포들은 임피던스 매칭 네트워크들(115, 120)을 향해 전극 베이스를 따라 전파되는 고조파 표면파들의, 플라스마-벽 계면 또는 전극에 연결되는 표면들 상에서의, 또는 벽들 상에서의, 주파수 및 위상 분포들을 결정한다. 유도성 플라스마의 경우에, 신호들, 예를 들면, 기본파 및 고조파는 다시 안테나로 그리고 이어서 안테나에 전력을 공급하는 임피던스 매칭 네트워크로 전파될 수 있다. 기본파 및 고조파 RF 파들 양쪽 모두의 주파수 및 위상 분포들은 그러한 표면들 상에 장착된 센서들을 사용하여 마이크로초 시간스케일로 모니터링될 수 있으며, 플라스마 밀도 또는 전기 전도도의 변화들 또는 플라스마 비대칭성의 지표들로서 지정된 범위들 및 위상 관계들과 비교될 수 있다. 그러한 센서들로부터의 신호들은 각각의 센서 위치에서의 각각의 주파수 성분에 대한 진폭 및 위상 값들을 생성하기 위해 신호의 성분 주파수들을 분석하는 검출기들로, 케이블들에 의해 또는 다른 방식으로, 전송될 수 있다.
특정 구현들에서, 검출된 RF 고조파 성분들의 진폭들 및 위상들은, 임피던스 매칭 네트워크들(115, 120) 내에 있거나 이들에 연결되거나 이들의 일부일 수 있는, 또는 별도의 금속 상자 또는 섀시일 수 있는, 신호 분석 구획 내의 회로들에 의해 신속하게 결정될 수 있다. 그러한 진폭들 및 위상들은 알고리즘들 및 플라스마 불균일성 교정들을 적용하는 것에 의해 플라스마의 비대칭성을 결정하는 데 사용될 수 있다. 센서들로부터의 신호들은, 가능한 한 빈번히 업데이트하고 고속 데이터 스트림을 생성하는, 거의 연속적인 스펙트럼 분석을 수행하기에 충분히 빠른 전용 회로들에 의해 푸리에 분석될 수 있다. 예를 들어, 13.56 MHz에서, 512 주기는 펄스의 각각의 요소가 5 KHz로 발생할 때 푸리에 분석을 통해 프로세싱하는 데 35 마이크로초가 소요될 수 있으며, 이에 의해 10 kHz의 속도로 업데이트들을 가능하게 한다.
기본파 및 고조파 파들에 대한 전용 푸리에 분석들의 결과들은 신호 분석 구획과 연관된 분석 프로세서에 의해 판독되고/되거나 기입될 수 있는 별도의 저장 매체에 저장될 수 있다. 저장된 결과들 또는 실시간 신호 중 어느 하나는 기본파 및 고조파 파들 각각에 대한 비대칭성 파라미터들을 결정하기 위해 고속 계산 프로세서들로 라우팅될 수 있다. 비대칭성 파라미터들은 "플라스마 고장(Plasma Fault)" 조건을 매우 빠르게 인식하기 위해 (또한 별도의 저장 매체에 또는 상이한 저장 매체에 저장될 수 있는) 알고리즘들을 사용하여 별도의 저장 매체에(또는 상이한 저장 매체에) 이전에 저장된 값들과 비교될 수 있다. 이어서 분석 프로세서는, 예를 들면, 현재 조건들 하에서 프로세스를 계속하거나, 프로세스 조건들에 필요한 변경들을 하기 위한, 적절한 명령을 제1 및 제2 RF 생성기들(105, 110), 및 특정 구현들에서, 단지 2 개 초과의 RF 생성기로 전송할 수 있다. 특정 구현들에서, 3 개, 4 개, 또는 그 이상의 RF 생성기가 사용될 수 있다. 제1 및 제2 RF 생성기들(105, 110)은 이어서 제공되는 전력을 계속, 중단, 또는 변경할 수 있거나, 반사 전력을 수정하기 위해 RF 전력의 약 ±10%만큼 주파수를 변경할 수 있거나, 어떤 다른 적합한 방식으로 응답할 수 있다 - 예를 들어, 감소된 전력 모드 또는 펄스 모드에 들어가거나, 또는, 플라스마 고장 또는 다른 용납할 수 없는 상황 동안 부적절한 웨이퍼 프로세싱을 피하기 위해, 특정 정정 조치들, 예를 들면, 경보 트리거링, 전력 중단 등을 명령할 수 있다 -.
표면파들을 검출하고 특성 분석하기 위한 센서들의 위치는 웨이퍼에 의해 덮이는 영역 외부에 있는 페데스탈(135)의 주변 표면들 상에 (노출된 채로(bare) 또는 유전체로 덮여) 있을 수 있다. 예를 들어, 반응 챔버(140)가 반경 150 mm의 원형 웨이퍼를 프로세싱하는 경우, 페데스탈에 장착된 센서들은 웨이퍼 중심으로부터 150 mm 초과의 반경들에 위치할 수 있다. 센서들은 추가적으로 또는 대안적으로 웨이퍼를 향하는 샤워헤드(130)의 표면 또는 주변부 상에, 또는 페데스탈(135)의 베이스 또는 샤워헤드(130)의 베이스의 표면 상에 위치할 수 있으며, 이러한 위치들이 배기된 프로세스 환경 내부에 있든 외부에 있든 관계없다. 센서들은 또한 다양한 다른 위치들에 위치할 수 있으며, 이에 대해서는 아래에서 상세히 논의될 것이다.
배기된 프로세스 환경 외부에 있는, 예를 들면, 베이스를 임피던스 매칭 네트워크들(115, 120) 중 하나 이상에 연결시키는 스트랩들 또는 버스들, 페데스탈(135) 및/또는 샤워헤드(130)의 베이스에 있는 센서들을 사용하는 것은 진공 피드스루(vacuum feedthrough)를 통해 신호들을 전달하는 것 또는 반응 챔버(140)의 배기된 체적 내에 전송 케이블들을 설치하는 것을 필요로 하지 않을 수 있다. 그에 따라, 그러한 위치들에 있는 센서들은 기본파 및 고조파 EM 파들을 거의 지속적으로 모니터링할 수 있다. 이것은 RF 플라스마 프로세싱 시스템(100)이 플라스마 밀도 균일성을 면밀하게 모니터링할 수 있게 하고 고장 조건이 발생했는지 여부 또는 적절한 웨이퍼 또는 기판 프로세싱이 계속되는지 여부를 매우 짧은 시간 내에 결정할 수 있게 할 수 있다.
특정 예시적인 구현들에서, 본 개시내용은 RF 플라스마 프로세싱 시스템(100) 내에서 요구된 "프로세스 윈도"로부터 플라스마의 편차들을 검출하기 위한 장치들 및 방법들을 제공할 수 있다. RF 플라스마 프로세싱 시스템(100)은 반응 챔버(140)를 포함할 수 있으며, 반응 챔버(140)는 반응 가스들을 반응 챔버(140)에 주입하기 위한 샤워헤드(130)를 포함할 수 있고 웨이퍼 지지 페데스탈(135)을 또한 포함할 수 있다. 그렇지만, 다른 구현들에서, 샤워헤드(130)는 가스를 반응 챔버(140) 내로 주입하지 않을 수 있다. 일부 실시예들에서, 샤워헤드(130)는 그 중심이 반응 챔버(140)의 대략적인 대칭 축 근처에 있도록 장착될 수 있고 대칭 축을 중심으로 선택된 방위각들에 위치된 복수의 센서들을 갖추고 있을 수 있다. 추가적으로 또는 대안적으로, 그러한 센서들은 웨이퍼들이 프로세싱되고 있는 동안 전파되는 EM 표면파들을 검출 및 측정하기 위해 샤워헤드(130)의 주변 영역들에서 웨이퍼 대향 표면 상에 위치될 수 있다.
게다가, 일부 실시예들에서, RF 고조파들 및 기본파 표면파들의 진폭 및 위상 양쪽 모두를 검출하기 위해, 웨이퍼가 차지하는 영역 외부에, 웨이퍼 지지 페데스탈(135)의 외측 표면 상에 장착되는 복수의 센서들이 있을 수 있다. 그러한 센서들은 플라스마에 노출될 수 있거나, 유전체 커버들, 또는 유전체 및 금속 커버들에 의해 덮일 수 있다. 추가적으로 또는 대안적으로, 센서들은 페데스탈(135) 베이스의 주변부에, 배기된 체적 내부 또는 외부에, 및/또는 웨이퍼에 의해 규정되는 평면 아래에 위치될 수 있다. 일부 구현들에서, 센서들은 페데스탈의 웨이퍼 지지 영역을 향하거나 그로부터 멀어지게 및 페데스탈 베이스의 표면 상에서 전파되는 표면 전자기파들을 검출하기 위해 페데스탈 베이스 상에 위치될 수 있다. 특정 실시예들에서, 센서들은 웨이퍼 평면에 가깝게(예를 들면, 10 센티미터 미만) 장착될 수 있다.
대안적으로, 센서들은, 금속 또는 다른 전기 전도성 재료이고 대기 조건들에 있는 반응 챔버(140)의 배기된 영역 외부에 위치하는, 페데스탈(135)의 일부 상에 장착될 수 있다. 배기된 영역 외부에 위치하는 센서들은 직경이 최대 페데스탈(135) 직경의 50% 이상이거나, 심지어 최대 페데스탈(135) 직경의 75% 초과인 페데스탈(135)의 영역에 장착될 수 있다. 그러한 센서들은 지지 페데스탈(135)을 위한 진공 실(vacuum seal), 예를 들면, O-링으로부터 수 센티미터 내에 위치될 수 있다. 일부 실시예들에서, 웨이퍼의 에지로부터 센서들까지의 반경방향 및 축방향 전파 거리의 총합은 약 25 cm 미만, 또는 약 15 cm 미만, 또는 심지어 약 10 cm일 수 있다. 본 개시내용의 실시예들에 따른 센서들의 특정 위치들 및 배향들은 아래에서 상세히 논의될 것이다.
도 2를 참조하면, 본 개시내용의 실시예들에 따른, 고 임피던스 센서들이 전극들 상의 다양한 위치들에 장착되어 있는 플라스마 챔버의 개략적인 측면도가 도시되어 있다. 전극들로서 역할하는 2 개의 컴포넌트, 즉 페데스탈(235) 및 샤워헤드(230) 각각, 또는 동등한 다른 전력을 공급받는 요소는 별도의 RF 생성기(205 또는 210) 및 임피던스 매칭 네트워크들(215 및 220)을 사용한다. 페데스탈(235)의 표면을 따라 있는 화살표들(245)은 임피던스 매칭 네트워크(220)를 통해 페데스탈(235)에 전기적으로 연결되는 하부 (바이어스) RF 생성기(210)로부터의 RF 전류 및 전력 흐름의 내향 반경 방향(inward radial direction) 및 위치를 나타낸다. 생성되는 전기장은 전극들 사이에 플라스마(도시되지 않음)를 형성하는 것에 기여하고, 샤워헤드(230) 또는 다른 전력을 공급받는 요소의 하부 표면을 따라 그리고 궁극적으로 샤워헤드(230) 또는 다른 전력을 공급받는 요소를 위한 임피던스 매칭 네트워크(215) 내의 선택적인 접지 회로로의, 화살표들(250)로 표시되는, 전류 및 전력의 반경방향 외향 대향류(radial outward counterflow)에 기여한다.
특정 실시예들에서, 제1 및 제2 RF 생성기들(205, 210) 및 임피던스 매칭 네트워크들(215, 220)로부터의 RF 전력을 갖는 반응 챔버(240)는 유전체(260)에 의해 덮일 수 있는 페데스탈(235)의 주변부 상에 센서들(255)을 포함할 수 있다. 통신 라인들(265)은 센서들(255)로부터의 신호들을 센서들(255)에 의해 픽업되는 기본파 주파수 및 고조파 주파수 표면파들 양쪽 모두의 진폭들 및 위상들을 계산하는 푸리에 분석 회로들(도시되지 않음)로 전송할 수 있다.
일부 구현들에서, 푸리에 분석 회로들은 주기적인 표면 파형들의 기본파 및 고차 고조파들의 크기들 및 위상들을 계산할 수 있다. 푸리에 급수(Fourier series)라고 알려진, 결과적인 급수는 시간 도메인에서의 함수와 주파수 도메인에서의 함수 사이의 관계를 설정한다.
게다가, 개시된 매칭 네트워크(220)의 실시예들 중 일부는 RF 전력 처리 및 임피던스 매칭 회로 또는 매칭 네트워크(220)의 컴포넌트들로부터 RF 격리되는 매칭 네트워크(220)의 신호 분석 구획(275) 또는 부속물을 포함할 수 있다. 신호 분석 구획(275)은 센서 신호들을 분석하고 RF 기본파 및 고조파 파들의 디지털 진폭들 및 위상들을 산출하기 위한 푸리에 분석 회로(들)를 포함할 수 있다. 신호 분석 구획(275)은 또한 고조파 주파수들에서의 신호들의 상대 크기들 및 상대 위상들을 분석하는 것 및 축대칭 및 비축대칭 고조파 성분들의 상대 크기들 및 이들의 상대 위상들을 특성 분석하는 정량적 파라미터들을 도출하는 것을 위한 고속 디지털 논리 또는 계산 프로세서들을 포함할 수 있다. 게다가, 일부 실시예들에서, 개시된 매칭 네트워크(220)는 초고속 네트워크를 통해 제2 RF 생성기(210)에 연결될 수 있는 것은 물론 센서들(255)이 위치하는 RF 플라스마 프로세싱 시스템(200) 또는 반응 챔버(240)에 대한 제어기(도시되지 않음)에 연결될 수 있다. 일부 실시예들에서, 개시된 향상된 임피던스 매칭 네트워크(220)는 명령들을 제1 RF 생성기(205)로 송신할 수 있는 것은 물론 그의 계산된 파라미터들을 프로세싱 챔버 제어기 및/또는 툴 제어 시스템에 통신할 수 있다.
추가적으로, 다른, 제1 RF 생성기(205) 및 임피던스 매칭 네트워크(215)는 또한, 반응 챔버(240) 내의 샤워헤드(230)일 수 있는, 다른 전극에 전기적으로 결합될 수 있다. 일 구현에서, 제1 RF 생성기(205)는 제2 RF 생성기(210)와 상이한 주파수에서 작동할 수 있고, 그의 주파수는 제2 RF 생성기(210)의 주파수의 정수배가 아닐 수 있다.
유사하게, 임피던스 매칭 네트워크(215)는 전극 및 프로세싱 챔버(240)로부터의 반사 전력을 모니터링하고, 전극으로부터 상당한 반사 전력이 있는 경우 조정을 할 수 있다. 일부 실시예들에서, 제2 RF 생성기(210)는 400 KHz RF 생성기, 2 MHz RF 생성기, 또는 13.56 MHz RF 생성기 등일 수 있는 반면, 제1 RF 생성기(205)는 약간 더 높은 주파수에서 작동할 수 있다. 일부 실시예들에서, 제1 RF 생성기(205)는, 60 MHz, 100 MHz, 또는 그 이상과 같은, 25 MHz 초과의 주파수에서 작동할 수 있다.
일 실시예에서, 제1 RF 생성기(205)의 주요 기능은 샤워헤드(230) 또는 전극과 같은 다른 전력 소스와 페데스탈(235) 사이에 플라스마를 생성하기 위해 - 샤워헤드(230)와 페데스탈(235) 양쪽 모두가 플루오르, 염소, 또는 이들의 조성물들과 같은 반응성 화학종들을 생성함 - 그리고 생성된 플라스마로부터의 이온들로 하여금 가속하게 하여 페데스탈(235) 상에 배치된 웨이퍼에 충돌하게 하기 위해 반응 챔버(240)에 전력을 공급하는 것일 수 있다.
하부 전극, 즉 페데스탈(235)과 대면하는 상부 전극 표면, 즉 샤워헤드(230) 상에, 약 100 옴 초과 및 일부 실시예들에서 500 옴 초과의 임피던스를 갖는 한 세트의 센서들(280)이 배치될 수 있다. 센서들(280)은 전압 또는 전류 센서들일 수 있거나, 단일 패키지에서 양쪽 능력들을 겸비할 수 있다 - 예를 들어, 전류 센서가 정전기 실드에 의해 덮일 수 있는 하나 이상의 와이어 세그먼트를 포함할 수 있다 -.
일부 실시예들에서, 센서들(280)은 임피던스 매칭 네트워크(215)의 신호 분석 구획(285) 내의 푸리에 분석 회로들에 대한 전기적 연결들을 갖는다. 푸리에 분석 회로들은 센서들(280) 각각으로부터의 상이한 주파수 성분들의 진폭 및 위상을 출력하고 이들을 다른 센서들(280)과 및/또는 메모리에 저장된 기준 레벨들과 비교할 수 있다. 일부 실시예들에서 신호들의 분석들은 진폭들 또는 위상들 또는 양쪽 모두의 패턴 인식, 또는 센서들(280)로부터의 신호들의 신경 네트워크들 또는 종래의 디지털 알고리즘적 프로세싱을 사용할 수 있는 학습 알고리즘들을 이용하는 인공 지능(AI)을 포함할 수 있다.
기본파 및 고조파 성분 신호들, 즉 진폭들 및 위상들 양쪽 모두를 발견하기 위한 푸리에 분석 회로들에 의한 신호 프로세싱은, 센서 신호들 각각에 대해, 약 10 마이크로초 미만 내에 그리고 바람직한 실시예들에서 1 마이크로초 이하 내에 수행될 수 있다. 임피던스 매칭 네트워크(215)의 격리된 신호 분석 구획(285)은 초고속 논리 IC들을 이용하는 초고속(< 1 ns 사이클 시간) 회로들을 사용하여 상당한 계산 능력을 갖는 적어도 하나의 계산 또는 논리 프로세서를 포함할 수 있다. 일부 실시예들에서, 프로세싱 챔버들(240)의 공급자들 또는 사용자들이 임피던스 매칭 네트워크(215)에 제공되는 컴퓨팅 "플랫폼" 상에서 독점 알고리즘들 또는 분석 소프트웨어를 제공하거나 구현할 수 있도록 신호 분석 구획(285) 내의 프로세서들이 프로그래밍 가능하다.
일부 실시예들에서, 신호 진폭들 및 위상들로부터 파라미터들을 계산하기 위한 소프트웨어 프로그램들, 및 허용 가능한 플라스마 조건들로부터의 편위들의 웨이퍼 수율에 대한 영향을 결정하기 위한 추가의 논리 알고리즘들은, 데이터 저장소를 포함하고 신호 프로세싱 구획에 연결되는, 이동식 "플러그인(plug-in)" 컴포넌트 상에 상주(reside)할 수 있다. 이 소프트웨어 또는 논리는 공칭 또는 적절한 작동 조건들로부터의 RF 표면파 스펙트럼의 편위의 정도를 계산한다. 이것에 기초하여, 제어기와 연관된 프로세서는, 웨이퍼가 잘못 프로세싱되기 전에, 약 1 밀리초 이내에 프로세스의 정정 조치 또는 종료를 "결정"할 수 있다. 일부 실시예들에서, 교정 조치가 1 밀리초 이내에 시작될 수 있도록, 편위의 예상된 영향에 관한 정량적 판단이 발생으로부터 약 100 마이크로초 이내에 행해질 수 있다. 게다가, 당시에 반응 챔버(240)에서 프로세싱되고 있는 웨이퍼 또는 기판에 대한 손상이 최소이거나 전혀 없도록 조치가 취해질 수 있으며, 이에 의해 해당 웨이퍼 또는 기판에 대한 수율 손실을 피할 수 있다.
임피던스 매칭 네트워크(215)의 신호 분석 구획(285)에서 이루어지는 평가 및/또는 결정은, 일부 실시예들에서, 플러그인 저장소 및/또는 착탈 가능한 데이터 프로세싱 디바이스에 존재하는 알고리즘들을 사용하는 초고속 계산 또는 분석 시스템에 의해 수행될 수 있다. 또 다른 실시예들에서, 신호 분석 구획(285)에서 이루어지는 평가 결정들은 아날로그 또는 신경 네트워크 유형 프로세서를 사용하여 수행될 수 있다. 그러한 결정은 착탈 가능한 저장소 또는 프로세싱 디바이스에 상주할 수 있는 결정 알고리즘을 추가로 사용할 수 있다. 정정 조치에 대한 지시는 고속 데이터 라인에 의해 임피던스 매칭 네트워크(215)의 구획(275)으로부터 RF 생성기(205)로 즉시 전송될 수 있으며, 이는 일시적으로 플라스마에 대한 전력을 중단, 변경, 종료하거나 플라스마에 대한 RF 주파수를 수정할 수 있다. 이는 공장 경영진이 해당 프로세싱 챔버(240) 및 RF 플라스마 프로세싱 시스템(200)에 대한 정정 조치를 즉시 취하거나 계획할 수 있도록 보장한다.
또한 도 2에는 샤워헤드(230)의 베이스(295)의 외측 표면 상에 구성되는, 한 세트의 센서들(290)이 도시되어 있으며, 샤워헤드(230)의 표면은 대기 조건들에 있는 반응 챔버(240) 외부에 있다. 일부 실시예들에서, 센서들(290)과 마찬가지로, 추가적인 센서들(296)이 페데스탈 베이스(297) 상에 장착되고 고속 신호 케이블들에 의해 임피던스 매칭 네트워크(220)의 개시된 신호 프로세싱 구획(275)에 연결될 수 있다. 반응 챔버(240)의 진공 환경 외부에 위치하는 센서들(296)은, 진공 피드스루가 필요하지 않기 때문에, 실질적으로 덜 비싸고 정보 및 프로세싱 네트워크에 통합하기가 덜 어렵다.
센서들(255)은 페데스탈(235)의 표면 상의 전압 및/또는 전류를 감지하도록 배치되고, 유전체 커버(260)에 의해 덮이고 플라스마로부터 보호될 수 있다. 이러한 유형 및 위치의 센서들은 웨이퍼 및/또는 기판에 근접하며, 따라서 플라스마 비대칭성 - 이는 중요한 유형의 플라스마 불균일성임 - 을 나타내는 특정 모드들의 EM 표면파들을 검출하는 데 감도 장점이 있을 수 있다. 이러한 챔버 내 센서들(255)은 피드스루를 통해 진공 벽을 통과하는 통신 링크를 사용할 수 있거나, 광학 주파수들에서 또는 더 낮은 주파수들에서 작동하는 무선 통신 링크를 사용할 수 있다.
일반적으로, 샤워헤드(230) 및 페데스탈(235)의 표면에 걸친 EM 표면파의 각각의 주파수의 위상 및 진폭 패턴들은 센서들(255, 280, 290, 및 296)로부터의 전압, 전류, 위상, 또는 이들의 조합으로 이루어진 그룹들 중 임의의 그룹으로부터의 신호들의 분석에 의해 결정될 수 있다. 일반적으로, 주어진 주파수의 EM 표면파들은 전압, 전류, 및 위상 신호들을 생성한다. 이러한 신호들의 진폭들 및 위상들은 전극-플라스마 계면에 걸쳐 있는 모든 지점들에서 발생하는 해당 주파수의 파들의 합이다. 전력이 대칭적으로 공급되고 플라스마가 축대칭인 축대칭 전극 표면의 경우, 축대칭 표면파 모드들은 전극의 모든 부분들 및 반응 챔버(240) 내의 다른 표면들로부터의 파들의 중첩으로부터 얻어질 것이다. 일반적으로, 챔버의 대칭 축에 중심을 둔 대칭 전극을 갖는 대칭 챔버에서의 완벽하게 대칭적인 플라스마는 주로 페데스탈(235)의 중심에 중심을 둔 원들의 형태의 동일한 위상 및 진폭의 대칭 라인들을 가질 것이다.
도 3을 참조하면, 본 개시내용의 실시예들에 따른, 전압 신호들을 낮은 션트 커패시턴스(shunt capacitance)를 갖는 전기 커넥터들을 통해 제공하는 센서들을 갖는 이중 플레이트 전극 어셈블리의 단면도가 도시되어 있다. 일부 실시예들에서, 샤워헤드(330)와 같은 전극은 대략 평행하게 구성되고 중심들이 정렬되며 일반적으로 기판 또는 웨이퍼와 동일한 형상을 갖는 2 개의 전도성 플레이트(331, 332)를 포함할 수 있다. 제2 플레이트(332)로부터 먼 쪽을 향하는 제1 플레이트(331)의 표면은 진공 환경에 및 플라스마에 노출될 수 있다. 제1 플레이트(331)는 유전체 스탠드오프 지지체들(dielectric standoff supports)(333)의 길이인 거리만큼 제2 플레이트(332)로부터 분리된다. 제1 플레이트(331)는 매립된 센서들(334)을 가질 수 있으며, 센서들(334)의 퍽들(pucks) 또는 팁들은 전도성 재료이고 센서들(334)의 표면들은 제2 플레이트(332)로부터 먼 쪽을 향하는 제1 플레이트(331)의 해당 표면과 대략 동일 평면에 있다.
일부 실시예들에서, 센서들(334)은 제1 플레이트(331)에 장착될 수 있고, 석영 또는 어떤 다른 적합한 재료와 같은, 낮은 유전 상수를 갖는 유전체(336)에 의해 둘러싸일 수 있다. 일부 실시예들에서, 유전체(336)는 5 미만의 유전 상수를 가질 수 있고, 일부 실시예들에서 유전 상수는 석영 기반 에어로겔들과 같은 무기 재료들의 경우 2 미만일 수 있다. 센서들(334)은 100 kHz부터 약 300 MHz까지 걸쳐 있는 고 대역폭을 가질 수 있고, 표면 전압, 표면 전류, 또는 양쪽 모두를 감지할 수 있다. 센서들(334)의 감도는 반응 챔버에서 사용되는 주요 기본파 RF 주파수의 고조파들의 주파수 범위에 걸쳐 30% 미만만큼 변할 수 있다. 각각의 센서로부터 적어도 하나의 리드(337)는 전기적으로 접지된 제2 플레이트(332)에 장착된 베이스(341)를 갖는 진공 전기 신호 피드스루(339)의 내부 도체(338)에 연결된다.
센서(334)로부터 접지로의 션트 커패시턴스와 리드(337)로부터 접지로의 션트 커패시턴스와 피드스루(339)로부터 접지로의 션트 커패시턴스를 합한 총 션트 커패시턴스가 5 피코패럿(pf) 미만이어야 하도록, 피드스루(339)의 내부 도체(338)는 접지된 제2 플레이트(332)에 장착되는 피드스루(339)의 베이스(341)에 대한 작은 션트 커패시턴스 - 예를 들면, 5 pf 미만, 그리고 일부 실시예들에서 2 pf 미만 -를 가질 수 있다. 일부 실시예들에서, 접지된 제2 플레이트(332)에 장착되는 베이스(341)로부터의 출력은 감쇠기(도시되지 않음)에 연결될 수 있다. 일부 실시예들에서, 감쇠기는 약 100 옴 초과의 저항을 갖는 전기 저항기를 포함할 수 있다. 전기 저항기와 직렬로 접지에 대한 션트 저항기가 있을 수 있다. 션트 저항기의 저항은, 예를 들면, 50 옴일 수 있거나, 대안적으로 감쇠기를 통신 네트워크에 또는 플라스마 챔버에 대한 제어기에 연결시키는 케이블의 임피던스와 동일할 수 있다.
각각의 센서(334)는, 플라스마에 전력을 제공하는 모든 RF 생성기들에 대한 기본파 주파수에서 및 일정 범위의 고조파 주파수들에서, 결합된 전자기 표면파 모드들의 전압 또는 전류 진폭을 측정할 수 있다. 기본파 및 고조파 주파수들은 약 100 kHz 내지 약 500 MHz 범위일 수 있다.
도 4는 본 개시내용의 실시예들에 따른, 고 임피던스 전압 센서가 매립된 페데스탈의 단면도이다. 전압 센서(401)는, 페데스탈(400)과 같은, 전극에 장착될 수 있다. 일부 실시예들에서, 센서들(401)은 전기 접지(406)에 연결될 수 있다. 센서(401)의 팁 또는 퍽은 (선택적으로 공기 또는 진공일 수 있는) 유전체(403)로 둘러싸인 리드(402)를 가질 수 있다. 일부 실시예들에서, 센서(401)로부터의 리드(402)는 약 50 옴일 수 있고 전기 접지(406)에 연결될 수 있는 션트 저항기(405)와 함께 저항기(들)(404)와 같은 감쇠기를 통과할 수 있다. 그러한 저항기들(404, 405)은 비유도성일 수 있고, 약 100 옴 내지 약 100,000 옴의 범위에 있는 저항을 가질 수 있다. 일부 실시예들에서, 저항은 약 500 옴 내지 약 10,000 옴일 수 있다.
게다가, 유전체(403)는 일반적으로 비자성이어야 하고, 일부 실시예들에서 약 0.01 미만 또는 다른 실시예들에서 약 0.001 미만의 낮은 손실 탄젠트(loss tangent)를 가져야 한다. 센서(401)와 페데스탈(400) 전극 사이의 리액턴스가 300 MHz에서 약 100 옴 초과이어야 하도록, 센서(401)의 팁과 접지된 전극에 대한 리드(402) 사이의 션트 커패시턴스는 약 5 pf 미만, 또는 일부 실시예에서 약 2 pf 미만이어야 한다. 그러한 낮은 션트 커패시턴스의 목적은, 센서(401)가 파 에너지를 최소한으로 흡수하고 파가 센서(401)가 없을 때와 같이 전파되는 것을 가능하게 하도록, 센서(401)가 표면파에 부하를 거는 것(loading)을 감소시키는 것이다. 그러한 조건들 하에서, 검출되는 표면 전위는 그러한 센서들(401)을 갖지 않는 전극에서 검출되었을 것과 크게 상이하지 않을 것이다.
도 5를 참조하면, 본 개시내용의 실시예들에 따른, 페데스탈의 개략적인 측면도가 도시되어 있다. 페데스탈(501) 전력 공급 회로는 RF 전력 생성기(505) 및 임피던스 매칭 네트워크(506)를 포함한다. 고속 신호 라인들, 예를 들면, 케이블들(511, 512)은 센서들(502, 503)로부터의 신호들을 임피던스 매칭 네트워크(506)로 전달한다. 데이터 네트워크의 고속 라인들(513)은 임피던스 매칭 네트워크(506)로부터의 정보를 반응 챔버, 생성기, 툴 또는 공장(도시되지 않음)의 제어기(들)(514)로 전달한다. 센서들(502, 503)은, 반응 챔버의 진공 영역 내부 또는 외부에 있을 수 있는, 페데스탈(501)의 베이스(504) 상에 또는 그 근처에 장착된다.
일부 실시예들에서, 임피던스 매칭 네트워크(506)와 연관된 신호 분석 구획(510), 예를 들면, 고장 검출 구획(510)이 있을 수 있다. 신호 분석 구획(510)은 임피던스 매칭 네트워크(506)의, 진공 커패시터들 및 고전압 전자 장치들과 같은, 특정 컴포넌트들로부터 전기적으로 및/또는 RF 격리될 수 있다. 신호 분석 구획(510)은 케이블들(511, 512)을 통해 센서들(502, 503)로부터 신호를 수신한다. 이어서 신호 분석 구획(510)은 각각의 센서(502, 503)로부터의 신호들을, 검출기로 불릴 수 있고 트랜지스터들 및 수동 컴포넌트들과 같은 전자 컴포넌트들을 포함할 수 있는, 내부 회로로 채널링한다.
구획(510) 내의 각각의 검출기(도시되지 않음)는 병렬로 분석될 수 있는 하나의 센서(502, 503)로부터의 또는 센서 그룹으로부터의 신호들의 RF 스펙트럼 분석을 수행할 수 있다. 분석은 노이즈 감소를 위해 시간에 걸쳐 센서 그룹의 또는 하나 이상의 센서(502, 503)의 신호들을 평균화하는 것을 포함할 수 있다. 일부 실시예들에서 각각의 센서(502, 503)에 의해 획득되는 신호의 각각의 주파수 성분, 예를 들면, 기본파 및 고조파들에 대한 진폭 및 위상의 각각의 검출기로부터의 출력이 있을 수 있다. 각각의 검출기로부터의 출력들은 이어서 각각의 고조파 신호를 위한 아날로그-디지털 변환기에 입력될 수 있어, 측정되는 각각의 고조파의 진폭과 위상 양쪽 모두에 대한 디지털화된 값들을 산출할 수 있다.
각각의 주파수 성분 및 각각의 센서에 대한 이러한 디지털 진폭 및 위상 값들은, 지연이 거의 또는 전혀 없이, 예를 들어, 10 마이크로초 미만의 지연으로, 개시된 임피던스 매칭 네트워크와 연관된 신호 분석 구획 내의 고속 디지털 프로세서들에 입력될 수 있다. 디지털 프로세서들은 센서들로부터 획득되는 기본파 및 각각의 고조파에 대한 진폭 및 위상 정보 양쪽 모두를 분석하여, 획득된 기본파 및 고조파 주파수들 양쪽 모두에 대해, 축대칭 모드 및 비축대칭 모드들을 포함한, 상이한 표면파 모드들의 상대 크기를 결정할 수 있다. 각각의 주파수 성분에 대해 상이한 비축대칭 모드들이 있을 수 있으며, 그 중 하나 이상이 플라스마 불균일성의 지표들일 수 있다.
일부 실시예들에서, 그러한 비축대칭 모드들은 플러그인에 상주하는 알고리즘들에 의해 신속하게 식별될 수 있다. 비축대칭 모드들의 크기들과 플라스마 불균일 백분율들을 상관시키는 참조 데이터베이스도 이 플러그인에 상주할 수 있다. 디지털 프로세서들은 또한 가까운 장래에서의 고장의 가능성을 결정하기 위해 파 모드들의 증가율들 및 하나 이상의 파 모드의 가속도를 계산할 수 있다. 주어진 주파수에서의 비축대칭 모드의 크기의 하나의 척도는, 축대칭 챔버에 대칭적으로 위치하는, 원형 전극의 중심으로부터 동일한 반경방향 거리를 갖는 상이한 센서 위치들에서 주어진 주파수 표면파의 위상들 사이의 차이일 수 있다. 대안적으로, 비축대칭 모드들의 제2 지표는, 축대칭 챔버에 대칭적으로 위치하는, 원형 전극의 중심으로부터 동일한 반경방향 거리를 갖는 상이한 센서 위치들에서 주어진 주파수 표면파의 진폭들 사이의 차이들일 수 있다.
다채널 검출기 시스템들(도시되지 않음)을 포함하는 격리된 구획(510)을 갖는 매칭 네트워크(506)는 페데스탈(501) 상의 다양한 위치들에서 전파되는 EM 파들의 전압 진폭 및 위상을 동시에 푸리에 분석, 디지털화 및 기록할 수 있다. 고유 잡음으로 인해, 결정된 전압 진폭들 및 위상들 각각은, 필요에 따라, 짧은 시간 간격들에 걸쳐 평균화될 수 있고, 비교적 많은 수의 펄스들에 걸쳐 상대 크기들 또는 시간상 평균을 결정하기 위해 센서(502, 503) 그룹들에 대해 평균화될 수 있다.
센서 그룹들 또는 센서 어레이들을 갖추고 있는, 샤워헤드, 페데스탈, 또는 전극과 같은 다른 전력을 공급받는 요소는 RF 프로세스 동안 EM 파 모드들의 패턴들과 플라스마 밀도의 다양한 불균일성들 사이의 관계를 특성 분석 및 기록하는 데이터를 생성하기 위한 테스트 시스템으로서 사용될 수 있다. 이러한 데이터는, 플라스마 거동들을 특성 분석 및 분류하고 매칭 네트워크 구획 또는 다른 제어기 또는 모니터링 시스템들에 연결될 수 있는 플러그인 저장 디바이스에 저장될 수 있는 데이터베이스에 추가하기 위해, 분석될 수 있다.
비축대칭 및 축대칭 모드들의 진폭 및 위상 패턴 특성들 사이의 관계는 매칭 네트워크의 개시된 신호 분석 구획에 연결되는 플러그인에 저장될 수 있다. RF 플라스마 프로세싱 시스템이 생산 툴로서 사용될 수 있는 구현들에서, 플라스마의 불균일성은 그에 의해 챔버의 작동이 모니터링되고 있을 때 신속하게 검출될 수 있다. 예를 들어, 도 2에 도시된 바와 같이 구성된, 도 4에 도시된 개시된 유형의 센서가 도 1에 도시된 바와 같은 RF 플라스마 시스템에 개장(retrofit)될 수 있다.
프로세스 플라스마가 플라스마 고장 조건을 경험했을 수 있는지 여부를 결정하기 위해, 임피던스 매칭 네트워크와 연관된 신호 분석 구획 내의 분석 프로세서들은 미리 지정된 고조파 세트의 각각의 고조파에 대한 비축대칭 모드들의 크기들에 부분적으로 기초하여 파라미터들을 계산할 수 있다. 프로세서들은 일부 실시예들에서 이어서 이러한 파라미터들을 데이터베이스에서의 기준 범위들과 비교할 수 있다. 그러한 참조 데이터베이스는 임피던스 매칭 네트워크와 연관된 신호 분석 구획에 연결되는 플러그인에 상주할 수 있다.
데이터베이스는 허용 가능한 "프로세스 윈도"로부터의 플라스마 편위가 발생하고 있는지 여부를 결정하는 데 도움이 되도록 다양한 플라스마 조건들을 특성 분석하는 파라미터들을 저장할 수 있다. 일부 실시예들에서, 분석은 전극의 중심으로부터 주어진 거리에 있는 모든 센서 또는 센서 그룹으로부터의 각각의 고조파의 위상들의 비교를 포함할 수 있다. 임의의 방위각에 관한 센서 그룹에 대한 그러한 위상들의 변동은 해당 고조파 모드의 생성 및/또는 전파의 비대칭성의 척도일 수 있으며, 따라서 플라스마 비대칭성 및 불균일성의 척도일 수 있다. 고조파 세트의 각각의 고조파에 대한 비대칭성의 정량적 척도인 파라미터가 플러그인 유닛에 저장될 수 있고, 데이터 네트워크를 통해 챔버 및 툴 제어기들로 전송될 수 있다. 게다가, 파라미터들에서의 추세 및 가속도가 계산될 수 있고 고장 조건이 발생하는지 여부를 결정하는 프로세스의 일부로서 데이터베이스에서의 기준 값들 및 기준들과 비교될 수 있다. 일부 실시예들에서, 그러한 고장 조건이 발생할 때, 플러그인에 저장될 수 있는 알고리즘들 및 기준들은 교정 또는 예방 조치 과정을 결정하기 위해 구획에 상주하는 프로세서들에서 실행될 수 있다. 이어서 그러한 조치는 RF 생성기 및/또는 챔버 및/또는 툴 제어기들로 신속하게 전송될 수 있다.
일부 실시예들에서, 파라미터들, 파라미터들의 변화율들, 및 파라미터들의 가속도를 비교하기 위한 파라미터들, 알고리즘들, 기준들, 및 사양들의 모든 그러한 데이터베이스들은, 신호 분석 구획의 입출력 포트에 연결될 수 있는, 데이터 저장 디바이스에 상주할 수 있다. 발생으로부터 5 밀리초 이하 내의 네트워크를 통해 임의의 고장 선언 및 교정 조치 지시들이 RF 생성기로 전송되고 챔버 또는 시스템을 위한 제어기에 보고될 수 있을 정도로 신속하게 프로세서들에 의해 센서들로부터의 신호들 및 이들로부터 도출되는 파라미터들에 기초한 표면파 모드들의 분석이 수행된다. 일부 실시예들에서, 고장 조건 및 지정된 교정 조치 지시들은 1 밀리초 이내에 생성기로 전송될 수 있다.
일부 실시예들에서, 웨이퍼 또는 기판이 잘못 프로세싱되기 전에 툴 또는 챔버 제어기가 플라스마 고장 조건을 정정하기 위한 대책들을 취할 수 있을 만큼 충분히 신속하게 원하는 플라스마 균일성으로부터의 많은 유형들의 플라스마 편위들이 검출될 수 있다. 일부 상황들에서, 지정된 교정 조치는, 예를 들면, 연속파(CW) 또는 펄스형인 RF 전력 포맷이 잠시 변경되는 것, 또는 전원이 짧은 기간 동안 완전히 꺼지는 것, 또는 현재 웨이퍼의 프로세싱이 중단되고 웨이퍼가 폐기될 수 있는 것, 또는 반응 챔버가 유지 보수를 위해 정지될 수 있는 것일 수 있다. 이에 따라, RF 전력의 약 ±10% 범위 내에서 주파수를 조정하는 것은 이에 의해 반사 전력을 수정할 수 있다.
특정 실시예들에서, 플라스마 고장 조건의 검출 시에, 매칭 네트워크와 연관된 개시된 신호 분석 구획은 RF 생성기에 의해 실행될 적절한 정정 조치들을 지시할 수 있다. 예를 들어, RF 프로세스 생성기는 샤워헤드 및/또는 페데스탈 상의 센서들에 의해 측정되는 신호들에 응답하여 웨이퍼들의 프로세싱을 끝내기 위해 종료 프로세스를 개시할 수 있다. 특정 실시예들에서, 주파수가 조정될 수 있다, 즉 약 10분의 1 퍼센트 내지 10 퍼센트 내에서 증가 또는 감소될 수 있다. 대안적으로, 2차 플라스마들이 중단되도록, 플라스마를 중단하거나 펄스화하기 위해 RF 플라스마 프로세싱 퇴적 시스템에 의해, 예를 들면, 전력 펄스들의 설정(institution)과 같이, 전력이 중단될 수 있다. 일부 경우에, 매우 짧은 중단 이후에, 지정된 교정 조치는 프로세싱이 이어서 계속될 수 있다고 규정할 수 있다. 특정 구현들에서, 교정 조치는, 예를 들어, 머신 러닝 및/또는 프로그래밍된 교정 프로그램들을 통해 결정될 수 있다.
도 6을 참조하면, 본 개시내용의 실시예들에 따른, 반응 챔버 내의 플라스마가 축대칭인, 페데스탈을 가로지르는 축대칭 표면파들의 전파의 평면도가 도시되어 있다. 도 6에서, 원들(601)은 기본파 및 고조파 축대칭 표면파 모드들에 대한 일정한 위상 및 진폭의 곡선들이다. 원들은 전극들과 동심이다. 챔버에서 전극 및 플라스마가 모두 축대칭이고 동축일 때 이러한 모드들이 매우 우세하다. 임의의 주파수에서 표면파들에 대한 전파 벡터들(602)은 방사상일 것이다. 파들은 중심을 향해 전파되기도 하고 중심으로부터 멀어지게 전파되기도 할 것이며, 파들이 전파될 때, 그러한 파들은 플라스마에 전력을 주입할 것이다.
도 7을 참조하면, 본 개시내용의 실시예들에 따른, 전극을 가로지르는 횡 전자기 표면파 전파의 평면도가 도시되어 있다. 도 7에서, 비축대칭 모드에 대한 일정한 위상 및 동일한 진폭의 라인들(701 내지 704)은, 기본파 주파수에서든 그의 고조파에서든 상관없이, 거의 직선이고 평행하다. 그러한 표면파들은 RF 플라스마 퇴적 시스템의 페데스탈 또는 샤워헤드 상에 배치되는 센서들에 의해 검출될 수 있다. 이 모드는 "횡방향(transverse)"이라고 불릴 수 있으며, 이는, 전파 벡터들(705 내지 707)에서 보는 바와 같이, 전파 방향이 전극 표면을 가로질러 한쪽으로부터 다른 쪽으로 가는 것 또는 중앙 평면으로부터 좌측과 우측 양쪽 모두로 가는 것임을 의미한다. 일정한 위상의 라인들이 전극의 중심으로부터 변위되는 곡률 중심을 갖는 곡선들일 수 있는 다른 비축대칭 모드들이 있을 수 있다. 각각의 주파수에 대한 검출기 판독치들은 축대칭 모드들과 플라스마의 주요 불균일성들을 반영하는 (종종 적은 수의) 비축대칭 모드들의 합으로 분해될 수 있다. 전형적으로, 분해는 횡방향 모드 성분 및 하나의 주된 "중심에서 벗어난(off-center)" 또는 변위된 반경방향 모드의 식별을 가능하게 하며, 이들 각각이 플라스마 불균일성의 구성을 특징지운다. 플러그인 유닛 또는 다른 곳에 상주할 수 있는 데이터베이스를 구축하는 것의 일부로서 생산 프로세싱 전에 특정 비축대칭 모드들과 플라스마 불균일성의 구성의 상관들이 수행된다.
도 8을 참조하면, 본 개시내용의 실시예들에 따른, 반응 챔버에 대한 예시적인 방위각적 센서 배치의 평면도가 도시되어 있다. 이 실시예에서, 복수의 센서들(800)은 반응 챔버의 하나 이상의 컴포넌트 주위에 및/또는 반응 챔버 자체 상에 방위각적으로 배치될 수 있다. 위에서 간략하게 논의된 바와 같이, 이 실시예에서 4 개일 수 있는 복수의 센서들(800)은 표면파들과 연관된 표면 전압 또는 전류를 측정하기 위해 챔버 대칭 축(805)을 중심으로 상이한 각도들로, 샤워헤드 및/또는 페데스탈과 같은, 특정 챔버 컴포넌트들 상에 위치될 수 있다.
센서들(800)은 변하는 전위들 또는 자위들(magnetic potentials)을 픽업하는 수동 센서들(800)을 포함할 수 있다. 센서들(800)은 챔버 대칭 축(805)으로부터 상이한 방향들에서 신호들을 검출하기 위해 상이한 방위각들로 배치될 수 있다. 센서들(800)은 챔버 대칭 축(805) 및/또는 반응 챔버 내의 컴포넌트들, 또는 반응 챔버 자체 주위의 등거리 위치들에 배치될 수 있다. 유사하게, 센서들(800) 사이의 간격이 일관될 수 있도록, 센서들(800)이 서로 정반대로(diametrically opposite) 배치될 수 있다. 예를 들어, 센서들(800-1) 사이의 거리는 800-2와 동일하고, 센서들(800-2) 사이의 거리는 800-3과 동일하며, 센서들(800-3) 사이의 거리는 800-4와 동일하다. 유사하게, 각각의 센서들(800)은 챔버 대칭 축(805)으로부터 동일한 거리에 위치한다. 센서(800) 간격 및 위치의 예들은 아래에서 더 상세히 논의된다.
예시된 바와 같이, 센서들(800)은 정반대 위치들에 배치된다. 예를 들어, 센서(800-1)는 센서(800-3)의 정반대에 있는 반면, 센서(800-2)는 센서(800-4)의 정반대에 있다. 따라서 센서들(800)은 반응 챔버 및/또는 그의 컴포넌트들의 상이한 측면들 상의 파형들의 차이들을 발견할 수 있고, 파형들의 차이들이 발생할 때, 교정 또는 사전 조치들이 취해질 수 있도록, 위에서 설명된 바와 같이, 통지를 제공할 수 있다. 예를 들어, 센서(800-1) 및 센서(800-4)가 그들의 정반대 위치들로부터 파형의 차이를 감지하고 보고하는 경우, 그러한 차이들은 고조파들이 위상이 어긋난다 - 이는 그에 의해 플라스마 불균일성 및 비대칭성이 있다는 것을 나타낼 수 있음 - 는 표시를 제공할 수 있다.
특정 실시예들에서, 도 11에 예시된 바와 같이, 4 개의 센서(800)가 사용될 수 있다. 그렇지만, 다른 실시예들에서, 6 개, 8 개, 12 개, 14 개, 16 개, 18 개, 20 개, 또는 그 이상의 센서들(800)과 같은, 상이한 수의 센서들(800)이 사용될 수 있다. 특정 구현들에서, 6 개 내지 12 개의 센서(800)를 갖는 것이 유리할 수 있다. 센서들(800)의 수가 많을수록, 더 많은 데이터가 수집될 수 있으며, 이에 의해 불균일성의 인스턴스들에 대한 향상된 인식을 제공할 수 있다. 그렇지만, 센서들(800)의 수를 증가시키는 것에 의해, 데이터 프로세싱이 느려질 수 있고, 이에 의해 더 느리게 발생하는 교정 및 예방 조치들을 결과할 수 있다. 본 기술 분야의 통상의 기술자는 센서들(800)의 수를 원하는 데이터 입도 레벨과 균형을 맞추는 것이 이에 의해 RF 플라스마 프로세스가 최적화될 수 있도록 한다는 것을 이해할 것이다. 이에 따라, 컴퓨팅 능력이 증가하고 데이터가 프로세싱될 수 있는 속도가 증가함에 따라, 센서들(800)의 수를 증가시키는 것이 유리할 수 있다. 특정 실시예들에서, 특정 센서들(800)이 선택적으로 꺼지고 켜질 수 있으며, 이에 의해 제어기들이 특정 원하는 데이터에 액세스할 수 있도록 할 수 있다. 예를 들어, 8 개의 센서를 갖는 시스템에서, 센서들 중 4 개의 센서가 선택되어 꺼질 수 있으며, 이에 의해 생성되는 데이터의 양을 감소시킬 수 있다. 다른 실시예들에서, 추가적인 센서들이 추가되거나 작동으로부터 제거될 수 있으며, 이에 의해 생성되는 데이터의 양을 변경할 수 있다.
게다가, 복수의 센서들은 챔버 대칭 축(805)으로부터 반경방향으로 멀어지는 방향에 평행하고 챔버 대칭 축(805)에 축방향으로 평행한 전도성 재료의 길이를 갖는 라인을 포함하며, 여기서 라인의 한쪽 단부는 국부적 전기 접지에 연결된다.
센서들(800)은, 원형이기도 하고 다른 기하학적 형태들이기도 한, 다양한 유형들의 센서들을 또한 포함할 수 있다. 기능을 개선시키기 위해, 센서들(800)의 외측 에지들은 실질적으로 매끄럽거나 둥글(radiused) 수 있고, 이에 의해 RF 전류를 더 정확하게 검출하는 능력을 개선시킬 수 있다. 센서들(800)은 다양한 두께들을 포함할 수 있지만, 센서들(800)은 열 안정성 문제들을 일으키지 않을 정도로 충분히 두꺼우면서 전력을 반사하지 않을 정도로 충분히 얇을 수 있다. 특정 실시예들에서, 센서들(800)은 약 1 제곱센티미터의 면적을 갖는 원형일 수 있다. 센서들(800)은 반응 챔버 내의 플라스마로부터 센서들(800)을 보호하기 위한 절연 층을 더 포함할 수 있고, 패러데이 실드들, 알루미늄 코팅들 등과 같은 다른 선택적인 코팅들 및 층들을 또한 포함할 수 있다.
도 9를 참조하면, 본 개시내용의 실시예들에 따른, 반응 챔버 상에 방위각적으로 장착된 센서들의 측단면도가 도시되어 있다. 이 실시예에서, 반응 챔버(940)는 샤워헤드(930)의 중심으로부터 페데스탈(935)을 통해 종방향으로 뻗어 있는 대칭 축(905)을 갖는다. 다른 실시예들에서, 대칭 축(905)은, 안테나와 같은, 다른 전극의 중심으로부터 종방향으로 뻗어 있을 수 있다. 복수의 센서들(900)은 반응 챔버(940) 주위 및 내부의 다양한 위치들에 방위각적으로 배치될 수 있는 것은 물론, 샤워헤드(930) 및/또는 페데스탈(935)과 같은, 특정 컴포넌트들 주위에 또는 이들과 연관되어 배치될 수 있다. 도 9가 단면도이므로, 각각의 위치에 대한 2 개의 센서(900)만이 예시되어 있지만, 도 8과 관련하여 상세히 논의된 바와 같이, RF 플라스마 모니터링 프로세스의 구현 동안 4 개 이상의 센서(900)가 사용될 수 있다.
특정 실시예들에서, 센서들(900-1)은 샤워헤드(930)의 에지 또는 주변부 주위에 배치될 수 있다. 그러한 구현에서, 센서들(900-1)은 샤워헤드(930) 내에 적어도 부분적으로 또는 완전히 매립되어 배치될 수 있고, 센서들(900-1)의 외측 표면은 절연 층으로 코팅될 수 있으며, 이에 의해 센서들(900-1)을 반응 챔버(940) 내의 환경으로부터 보호할 수 있다. 그러한 실시예에서, 4 개 이상의 센서(900-1)가 샤워헤드(930)의 에지 주위에 방위각적으로 배치될 수 있으며, 이에 의해 RF 플라스마 프로세싱에서 불균일성 및 비대칭성의 검출을 가능하게 할 수 있다.
다른 실시예들에서, 센서들(900-2)은 반응 챔버(940)의 진공 내에서 페데스탈(935)의 에지를 따라 배치될 수 있다. 센서들(900-1)과 관련하여 위에서 설명된 바와 같이, 센서들(900-2)은 페데스탈(935) 내에 부분적으로 또는 완전히 매립될 수 있고 센서들(900-2)의 외측 표면 상에 배치된 절연 층을 포함할 수 있거나 그렇지 않을 수 있다. 진공 내부의 페데스탈(935) 주위에 배치되는 센서들(900-2)에 추가적으로, 반응 챔버(940)의 진공 외부에 및 페데스탈(935) 주위에 다른 센서들(900-3 및 900-4)이 배치될 수 있다. 그러한 센서들(900-3 및 900-4)은 페데스탈(935) 및/또는 그의 베이스 부분을 따라 금속 표면 상에 배치될 수 있다. 센서들(900)은 또한 페데스탈(935)의 다른 지지 구조체들 또는 페데스탈(935)과 연관된 다른 지지 구조체들 상에 배치될 수 있다.
또 다른 실시예들에서, 센서들(900-5)은 반응 챔버(940)의 측벽 내에 배치되고/되거나 다른 방식으로 내장될 수 있다. 그러한 실시예들에서, 센서들(900-5)은 반응 챔버(940) 외부에서 외부 챔버 벽(915) 상에 배치될 수 있거나, 센서들(900-5)이 반응 챔버(940)의 진공 내에 있도록 측벽에 내장될 수 있다. 다른 센서들(900-6)은 외부 챔버 벽(915)을 따라 위치하는 뷰포트들(920) 내에 배치될 수 있다. 그러한 실시예들에서, 뷰포트들 내의 센서들(900-6)은 반응 챔버(940)의 진공 외부에 위치하거나 반응 챔버(940) 내부에 위치할 수 있다.
또 다른 실시예들에서, 센서들(900-7)은, 예를 들어, 샤워헤드(930) 주위에 위치하는 유전체 내에 배치될 수 있는 반면, 다른 구현들에서, 센서들(900-7)은 페데스탈(935) 주위에 위치하는 유전체 내에 배치될 수 있다. 센서들(900)에 대한 특정 위치들이 본 명세서에서 논의되지만, 센서들(900)은 반응 챔버(940) 내부 및 그 주위의 다양한 다른 위치들에 위치할 수 있다. 예를 들어, 센서들(900)은 안테나 또는 다른 컴포넌트들 주위에 배치될 수 있다. 센서들(900)이 RF 플라스마 프로세싱 동안 파형들의 차이들을 검출할 수 있는 한, 센서들(900)은 반응 챔버(940) 내부 또는 외부의 다양한 다른 위치들에 추가로 위치할 수 있다.
특정 실시예들에서, RF 플라스마 프로세싱을 더 정확하게 모니터링하기 위해 센서들(900-1 내지 900-7)의 조합들이 사용될 수 있다. 예를 들어, 샤워헤드(930)의 에지 주위의 센서들(900-1)은 페데스탈(935)의 에지 주위의 센서들(900-2)과 조합될 수 있다. 유사하게, 반응 챔버(940) 외부의 센서들(900-5)의 조합들은 반응 챔버(940) 내에 위치하는 센서들(900-1/900-2)과 조합될 수 있다. 또 다른 실시예들에서, RF 플라스마 프로세싱의 모니터링을 추가로 최적화하기 위해 센서(900) 위치의 3 개, 4 개, 5 개, 6 개, 7 개 또는 그 이상의 변형들의 조합들이 사용될 수 있다.
도 10을 참조하면, 본 개시내용의 실시예들에 따른 반응 챔버의 개략적인 측단면이 도시되어 있다. 이 실시예에서, 이 예에서 페데스탈(1035)인 하부 전극 주위에 복수의 방위각적으로 배치된 센서들(1000)이 위치한다. 도 9와 관련하여 위에서 논의된 센서들(900)과 유사하게, 도 10은 다양한 위치들에 배치되는 센서들(1000)을 예시한다. 센서들(1000-1)은 페데스탈(1035)의 외측 에지 주위에 배치된다. 센서들(1000-2)은 반응 챔버(1040)의 내부 주위에 배치되는 반면, 센서들(1000-3)은 반응 챔버(1040)의 외측 주변부 주위에 배치된다.
이 실시예에서, 12 개의 센서(1000)가 각각의 위치에 예시되어 있지만, 다른 구현들에서, 더 적기도 하고 더 많기도 한, 다른 수의 센서들(1000)이 사용될 수 있다. 또한, 명시적으로 예시된 센서(1000) 위치들 외에도, RF 플라스마 프로세싱을 추가로 향상시키기 위해 다른 센서(1000) 위치들이 또한 사용될 수 있다.
도 11을 참조하면, 본 개시내용의 실시예들에 따른 반응 챔버의 개략적인 측단면이 도시되어 있다. 이 실시예에서, 센서들(1100)은 유도 결합 플라스마 소스(1105) 주위에 배치된 것으로 예시되어 있다. 이에 따라, 센서들(1100)은 반응 챔버(1140) 내에 위치하는 플라스마 소스로부터 RF 전류를 감지할 수 있다.
도 12를 참조하면, 본 개시내용의 실시예들에 따른, RF 플라스마 프로세싱 시스템의 부분 단면이 도시되어 있다. 이 실시예에서, RF 플라스마 프로세싱 시스템(1200)은 페데스탈(1235)을 포함한다. 페데스탈(1235)은 페데스탈(1235)의 상부 외측 에지를 따라 배치되는 센서들(1240)을 포함한다. 위에서 설명된 바와 같이, 센서들(1240)은 상부 외측 에지에서 페데스탈(1235) 내에 매립되어 배치될 수 있거나, 대안적으로 반응 챔버의 진공 내부 또는 외부에서 외측 에지 주위에 배치될 수 있다.
RF 플라스마 프로세싱 시스템(1200)은 통신 라인들(1250)을 통해 센서들(1240)에 연결되는 회로(1245)를 또한 포함한다. 센서들(1240)이 감지된 데이터를 RF 플라스마 프로세싱 시스템(1200)으로부터 수신함에 따라, 데이터는 프로세싱을 위해 회로(1245)로 송신될 수 있다. 회로(1245)가 센서들(1240)에 비교적 가깝기 때문에, 감지된 데이터를 센서들 사이에서 전송하는 데 걸리는 시간이 감소될 수 있다. 이에 따라, 센서들(1240)에 의해 감지되는 전기적 특성들에 대한 초기 계산들이 더 신속하게 수행될 수 있고, 이어서 RF 플라스마 프로세싱 시스템(1200)의 다른 컴포넌트들(1255)로 전송될 수 있다. 다른 컴포넌트들(1255)은, 예를 들어, RF 생성기, 임피던스 매칭 네트워크, 고장 검출 구획, 반응 챔버에 대한 작동 제어기, 툴에 대한 작동 제어기, 플러그인 디바이스, 신호 분석 구획, 또는 RF 플라스마 프로세싱 시스템(1200)에 연결된 다른 컴포넌트(들)를 포함할 수 있다.
다른 컴포넌트들(1255)은 이어서, 센서들(1240)에 의해 검출되고 회로(1245) 내에서 적어도 부분적으로 프로세싱되는 고장을 정정하기 위해, RF 플라스마 프로세싱 시스템(1200)의 측면들을 조정할 수 있다. 회로(1245)는 반응 챔버 내의 조건들로부터 회로(1245)를 보호하기 위해 격리된 구조로 반응기 챔버의 진공 외부에서 페데스탈(1235) 내에 위치할 수 있다. 다른 실시예들에서, 회로(1245)는 페데스탈(1235)의 베이스에, 또는 페데스탈(1235)에 근접한 다른 영역들에 위치할 수 있다.
도 12가 RF 플라스마 프로세싱 시스템(1200)의 단면을 예시하므로, 본 기술 분야의 통상의 기술자는 회로(1245)가 페데스탈(1235) 주위에 방사상으로 배치될 수 있음을 이해할 것이다. 이에 따라, 독립적인 회로(1245)가 각각의 센서(1240)에 대해 이용 가능할 수 있거나, 센서들(1240)이 페데스탈(1235) 주위 및/또는 내부의 하나 이상의 선택된 위치에 위치하는 중앙집중식 회로(1245)에 연결될 수 있다.
도 13, 도 14, 및 도 15를 함께 참조하면, 본 개시내용의 실시예들에 따른, RF 플라스마 프로세싱 시스템의 평면도, 플라스마 프로세싱 시스템 내의 공진기의 부분 단면도, 및 플라스마 프로세싱 시스템 내의 공진기의 제2 단면도가, 제각기, 도시되어 있다. 이 실시예에서, 플라스마 프로세싱 시스템(1300)은 반응 챔버(1310)에 작동 가능하게 연결되는 임피던스 매칭 네트워크(1305)를 포함한다. 임피던스 매칭 네트워크(1305)는 위에서 설명된 예시적인 임피던스 매칭 네트워크들(1305) 중 임의의 것을 포함할 수 있다. 플라스마 프로세싱 시스템(1300)은 반응 챔버(1310) 주위에 배치되는 복수의 공진기들(1315)을 또한 포함한다.
도 14는 병렬로 작동하도록 구성되는 공진기(1315)를 예시하는 반면, 도 15는 직렬로 작동하도록 구성되는 공진기를 예시한다. 공진기들(1315)은 커패시터(1320) 및 코일(1325)을 포함할 수 있고, 그와 연관된 하나 이상의 섹터 플레이트(1330)를 격리시키는 데 사용될 수 있다. 그에 따라, RF 전력이 반응 챔버(1310)에 공급될 때, 개별 섹터 플레이트들(1330)에 대한 전력이 수정될 수 있으며, 이에 의해 반응 챔버(1310) 내의 특정 영역에 더 많거나 더 적은 전력이 공급되도록 할 수 있다. 섹터 플레이트들(1330)은 페데스탈(1335) 주위에 방위각적으로 배치될 수 있고 반응 챔버(1310) 내에서 페데스탈(1335) 사이에 환형으로 위치할 수 있다. 섹터 플레이트들(1330)의 다양한 영역들에 공급되는 전력을 조정하는 것에 의해, 반응 챔버(1310) 내에서 플라스마 균일성이 변경될 수 있다. 도 14에 예시된 바와 같은 병렬 공진기(1315)는 더 적은 전력 소모(power drain)를 결과할 수 있으며, 이에 의해 RF 전압을 증가시킬 수 있다. 도 15에 예시된 바와 같은 직렬 공진기(1315)는 소모를 증가시킬 수 있으며, 이에 의해 RF 전압을 감소시킬 수 있다.
작동 동안, 전기적 특성이 기준 값 세트에 의해 정의될 수 있는 미리 정의된 한계를 초과할 때, 플라스마 파라미터를 조정하기 위해 고장 신호가 임피던스 매칭 네트워크(1305) 또는 다른 플라스마 전력 공급 디바이스로 송신될 수 있다. 전기적 특성들의 예들은 전자기 표면파 신호들 및/또는 위에서 논의된 다른 특성들을 포함할 수 있다. 특정 실시예들에서, 전기적 특성들은, 위에서 상세히 설명되는, 다수의 센서 위치들(도시되지 않음) 각각에 위치하는 무선 주파수 기본파 및 고조파들의 진폭들 및 위상들을 포함할 수 있다.
특정 실시예들에서, 고장 검출기(1340)는 임피던스 매칭 네트워크(1305)에 물리적으로 또는 작동 가능하게 연결될 수 있다. 고장 검출기(1340)는 위에서 식별된 전기적 특성들을 수신할 수 있고, 예를 들어, 비축대칭 위상 및 진폭 모드들을 결정하기 위해 챔버 대칭 축과 관련하여 무선 주파수 기본파 및 고조파들의 진폭들 및 위상들을 비교할 수 있다. 고장 검출기(1340)는, 예를 들어 그리고 제한 없이, 전기적 특성들, 기준 값 세트들, 플라스마 파라미터들 등과 같은 플라스마 프로세싱 시스템(1300)의 작동에 관련된 정보의 데이터베이스를 포함하는 착탈 가능한 메모리(도시되지 않음)를 포함할 수 있다.
특정 구현들에서, 정의된 전기적 특성이 검출될 때, 고장 검출기(1340)는 하나 이상의 교정 파라미터들에 기초하여 교정 프로토콜을 구현하기 위해 사용될 수 있다. 교정 파라미터들의 예들은, 예를 들어 그리고 제한 없이, 플라스마 전력 디바이스를 끄는 것, 임피던스 매칭 네트워크(1305)의 특성을 조정하는 것, 반응 챔버(1310)에 제공되는 전력 및/또는 주파수 변수를 조정하는 것 등을 포함한다.
도 16을 참조하면, 본 개시내용의 실시예들에 따른 플라스마 프로세싱 시스템의 부분 평면도가 도시되어 있다. 이 실시예에서, 플라스마 프로세싱 시스템(1600)은 반응 챔버(1610)에 작동 가능하게 연결되는 매칭 네트워크(1605)를 포함한다. 매칭 네트워크(1605)는 코일(1615)에 연결될 수 있다. 코일(1615)은 반응 챔버(1610) 내에서 유도를 통해 전력을 추가하기 위해 사용될 수 있다. 유도를 통해 전력을 제공하는 것에 의해, RF 전력을 소모하지 않고 전력이 추가될 수 있다.
동작 시에, 본 개시내용의 방법들은 RF 플라스마 프로세싱 시스템에서 균일성 제어를 제공하는 것을 가능하게 할 수 있다. 이 방법은, 예를 들어, 복수의 센서들로 반응 챔버 내의 RF 플라스마로부터 전자기 표면파 신호들을 검출하는 단계를 포함할 수 있다. 센서들은 위에서 설명된 예시적인 센서들 중 임의의 것을 포함할 수 있다. 이 방법은 센서 위치들 각각에서의 무선 주파수 기본파 및 고조파들의 진폭 및 위상을 결정하는 단계 및 반응 챔버 대칭 축으로부터 지정된 거리에서의 무선 주파수 기본파 및 고조파들의 진폭 및 위상을 비교하는 단계를 더 포함할 수 있다.
이 방법은 비축대칭 위상 및 진폭 모드들을 추출하는 단계 및 비축대칭 위상 및 진폭 모드들의 크기를 기준 값 세트와 비교하는 단계를 또한 포함할 수 있다. 비교에 기초하여, 비축대칭 위상 및 진폭 모드들이 미리 정의된 범위를 초과할 때 작동 파라미터를 조정하기 위한 명령이 플라스마 전력 공급 디바이스, 매칭 네트워크 등으로 송신될 수 있다. 특정 구현들에서, 미리 정의된 범위는 머신 러닝, 신경 네트워크들 등을 사용하여 결정 및/또는 정의될 수 있다. 비축대칭 위상 및 진폭 모드들이 미리 정의된 한계를 초과하지 않을 때, 이 방법은 검출하는 단계, 결정하는 단계, 비교하는 단계, 추출하는 단계 및 비교하는 단계를 계속하는 단계를 포함할 수 있다.
또 다른 실시예들에서, RF 플라스마 프로세싱 시스템에서 균일성 제어를 제공하기 위한 방법은 반응 챔버 대칭 축 주위에 방위각적으로 배치되는 하나 이상의 센서를 사용하여 RF 주파수 플라스마의 전기적 특성들을 검출하는 단계를 포함할 수 있다. 이 방법은 검출된 전기적 특성들에 기초하여 플라스마 불균일성이 존재한다고 결정하는 단계 및 결정된 플라스마 불균일성에 기초하여 플라스마 전력 공급 디바이스, 매칭 네트워크 등의 작동 파라미터를 조정하는 단계를 더 포함할 수 있다.
전술한 설명은, 설명 목적으로, 본 개시내용의 완전한 이해를 제공하기 위해 특정 명명법을 사용하였다. 그렇지만, 본 명세서에서 설명된 시스템들 및 방법들을 실시하기 위해 특정 세부 사항들이 요구되지 않는다는 것이 본 기술 분야의 통상의 기술자에게 명백할 것이다. 특정 예들에 대한 전술한 설명들은 예시 및 설명의 목적으로 제시된다. 그 설명들은 본 개시내용을 총망라한 것으로도 본 개시내용을 설명된 정확한 형태들로 제한하는 것으로도 의도되지 않는다. 명백하게도, 이상의 교시를 고려하여 많은 수정들 및 변형들이 가능하다. 본 개시내용의 원리들 및 실제 응용들을 가장 잘 설명하기 위해 예들이 도시되고 설명되며, 이에 의해 본 기술 분야의 통상의 기술자가 본 개시내용 및 고려되는 특정 용도에 적합한 다양한 수정들을 갖는 다양한 예들을 가장 잘 활용할 수 있도록 한다. 본 개시내용의 범위는 아래의 청구항들 및 그 균등물들에 의해 한정되는 것으로 의도된다.

Claims (20)

  1. 무선 주파수 플라스마 프로세싱 시스템으로서,
    반응 챔버;
    상기 반응 챔버에 배치되는 페데스탈(pedestal); 및
    상기 페데스탈과 상기 반응 챔버 사이에 환형으로 상기 페데스탈 주위에 방위각적으로 배치되는 복수의 섹터 플레이트들
    을 포함하는, 시스템.
  2. 제1항에 있어서, 전기적 특성이 기준 값 세트에 의해 정의되는 한계를 초과할 때, 상기 무선 주파수 플라스마 프로세싱 시스템에 연결되는 고장 검출기는 플라스마 파라미터를 조정하기 위한 신호를 상기 반응 챔버에 연결되는 플라스마 전력 공급 디바이스로 송신하는, 시스템.
  3. 제1항에 있어서, 전기적 특성이 기준 값 세트에 의해 정의되는 한계를 초과하지 않을 때, 상기 무선 주파수 플라스마 프로세싱 시스템에 연결되는 고장 검출기는 상기 무선 주파수 플라스마 프로세싱 시스템 상에 배치되는 복수의 센서들로부터 상기 전기적 특성을 계속 수신하고 상기 전기적 특성을 상기 기준 값 세트와 비교하는, 시스템.
  4. 제2항에 있어서, 상기 전기적 특성은 전자기 표면파 신호들을 포함하는, 시스템.
  5. 제2항에 있어서, 상기 전기적 특성은 복수의 센서 위치들 각각에서의 무선 주파수 기본파 및 고조파들의 진폭들 및 위상들을 포함하는, 시스템.
  6. 제5항에 있어서, 상기 무선 주파수 플라스마 프로세싱 시스템에 연결되는 고장 검출기는 비축대칭 위상 및 진폭 모드들을 결정하기 위해 반응 챔버 대칭 축과 관련하여 무선 주파수 기본파 및 고조파들의 상기 진폭들 및 위상들을 비교하는, 시스템.
  7. 제1항에 있어서, 고장 검출기가 임피던스 매칭 네트워크에 연결되는, 시스템.
  8. 제1항에 있어서, 기준 데이터베이스는 상기 무선 주파수 플라스마 프로세싱 시스템에 연결되는 고장 검출기에 분리 가능하게 연결되는 착탈 가능한 메모리에 저장되는, 시스템.
  9. 제1항에 있어서, 교정 프로토콜이 검출될 때 교정 파라미터가 구현되고, 상기 교정 파라미터는 플라스마 전력 공급 디바이스를 끄는 것을 포함하는, 시스템.
  10. 제9항에 있어서, 상기 교정 파라미터는 상기 반응 챔버에 제공되는 전력 및 주파수 중 적어도 하나를 조정하는 것을 포함하는, 시스템.
  11. 무선 주파수 플라스마 프로세싱 시스템에서 균일성 제어를 제공하기 위한 방법으로서,
    복수의 센서들로 반응 챔버 내의 무선 주파수 플라스마로부터 전자기 표면파 신호들을 검출하는 단계;
    복수의 센서 위치들 각각에서의 무선 주파수 기본파 및 고조파들의 진폭 및 위상을 결정하는 단계;
    챔버 대칭 축으로부터 지정된 거리에 있는 상기 복수의 센서 위치들 각각에서의 무선 주파수 기본파 및 고조파들의 상기 진폭 및 상기 위상을 비교하는 단계;
    비축대칭 위상 및 진폭 모드들을 추출하는 단계;
    상기 비축대칭 위상 및 진폭 모드들의 크기를 기준 값 세트와 비교하는 단계; 및
    상기 비축대칭 위상 및 진폭 모드들이 미리 정의된 범위를 초과할 때 작동 파라미터를 조정하기 위한 명령을 플라스마 전력 공급 디바이스로 송신하는 단계
    를 포함하는, 방법.
  12. 제11항에 있어서, 상기 비축대칭 위상 및 진폭 모드들이 상기 미리 정의된 한계를 초과하지 않을 때, 상기 검출하는 단계, 상기 결정하는 단계, 상기 비교하는 단계, 상기 추출하는 단계, 및 상기 비교하는 단계를 계속하는, 방법.
  13. 제11항에 있어서, 상기 작동 파라미터는 상기 플라스마 전력 공급 디바이스에 의해 생성되는 전력 및 주파수 값 중 적어도 하나를 포함하는, 방법.
  14. 제11항에 있어서, 상기 비축대칭 위상 및 진폭 모드들이 상기 미리 정의된 범위를 초과할 때 상기 플라스마 전력 공급 디바이스를 비활성화시키는 단계
    를 더 포함하는, 방법.
  15. 제11항에 있어서, 상기 복수의 센서들은 상기 플라스마 전력 공급 디바이스의 에지 주위에 배치되는, 방법.
  16. 제11항에 있어서, 상기 복수의 센서들은 100 옴 이상의 임피던스를 갖는 고 임피던스 센서들을 포함하는, 방법.
  17. 무선 주파수 플라스마 프로세싱 시스템에서 균일성 제어를 제공하기 위한 방법으로서,
    반응 챔버의 챔버 대칭 축 주위에 방위각적으로 배치되는 복수의 센서들로 무선 주파수 플라스마의 전기적 특성을 검출하는 단계;
    상기 검출된 전기적 특성에 기초하여 플라스마 불균일성이 존재한다고 결정하는 단계; 및
    상기 결정된 플라스마 불균일성에 기초하여 플라스마 전력 공급 디바이스의 작동 파라미터를 조정하는 단계
    를 포함하는, 방법.
  18. 제17항에 있어서, 상기 조정하는 단계는 상기 플라스마 전력 공급 디바이스를 비활성화시키는 단계를 포함하는, 방법.
  19. 제17항에 있어서, 상기 결정하는 단계는 정반대에 있는 상기 복수의 센서들 중 적어도 2개의 센서에 의해 검출되는 상기 전기적 특성을 비교하는 단계를 더 포함하는, 방법.
  20. 제17항에 있어서, 상기 결정하는 단계는 비축대칭 위상 및 진폭 모드들의 크기를 기준 값 세트와 비교하는 단계를 포함하는, 방법.
KR1020227026947A 2020-01-10 2021-01-09 무선 주파수 플라스마 프로세싱 시스템에 대한 균일성 제어 KR20220125292A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US202062959630P 2020-01-10 2020-01-10
US62/959,630 2020-01-10
US17/145,190 US11521832B2 (en) 2020-01-10 2021-01-08 Uniformity control for radio frequency plasma processing systems
US17/145,190 2021-01-08
PCT/US2021/012849 WO2021142380A1 (en) 2020-01-10 2021-01-09 Uniformity control for radio frequency plasma processing systems

Publications (1)

Publication Number Publication Date
KR20220125292A true KR20220125292A (ko) 2022-09-14

Family

ID=76763722

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020227026947A KR20220125292A (ko) 2020-01-10 2021-01-09 무선 주파수 플라스마 프로세싱 시스템에 대한 균일성 제어

Country Status (6)

Country Link
US (1) US11521832B2 (ko)
EP (1) EP4088303A4 (ko)
JP (1) JP2023509542A (ko)
KR (1) KR20220125292A (ko)
CN (1) CN114981916A (ko)
WO (1) WO2021142380A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11670488B2 (en) * 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US20210217587A1 (en) * 2020-01-10 2021-07-15 COMET Technologies USA, Inc. Plasma non-uniformity detection

Family Cites Families (198)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4679007A (en) 1985-05-20 1987-07-07 Advanced Energy, Inc. Matching circuit for delivering radio frequency electromagnetic energy to a variable impedance load
JP3007435B2 (ja) 1991-01-11 2000-02-07 新電元工業株式会社 Rf発生装置のマッチング制御回路
JPH05284046A (ja) 1991-01-29 1993-10-29 Shindengen Electric Mfg Co Ltd Rf発生装置のインピーダンスマッチング制御回路
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
US5849136A (en) 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH0732078B2 (ja) 1993-01-14 1995-04-10 株式会社アドテック 高周波プラズマ用電源及びインピーダンス整合装置
JP2642849B2 (ja) 1993-08-24 1997-08-20 株式会社フロンテック 薄膜の製造方法および製造装置
TW293983B (ko) 1993-12-17 1996-12-21 Tokyo Electron Co Ltd
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
US5629653A (en) 1995-07-07 1997-05-13 Applied Materials, Inc. RF match detector circuit with dual directional coupler
US5907221A (en) 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US6252354B1 (en) 1996-11-04 2001-06-26 Applied Materials, Inc. RF tuning method for an RF plasma reactor using frequency servoing and power, voltage, current or DI/DT control
US5737175A (en) 1996-06-19 1998-04-07 Lam Research Corporation Bias-tracking D.C. power circuit for an electrostatic chuck
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5914974A (en) 1997-02-21 1999-06-22 Cymer, Inc. Method and apparatus for eliminating reflected energy due to stage mismatch in nonlinear magnetic compression modules
US5866869A (en) 1997-02-24 1999-02-02 Illinois Tool Works Inc. Plasma pilot arc control
JP2000516800A (ja) 1997-06-13 2000-12-12 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ スイッチト―モード電源
US5842154A (en) 1997-09-15 1998-11-24 Eni Technologies, Inc. Fuzzy logic tuning of RF matching network
US5910886A (en) 1997-11-07 1999-06-08 Sierra Applied Sciences, Inc. Phase-shift power supply
US6313587B1 (en) 1998-01-13 2001-11-06 Fusion Lighting, Inc. High frequency inductive lamp and power oscillator
US6164241A (en) 1998-06-30 2000-12-26 Lam Research Corporation Multiple coil antenna for inductively-coupled plasma generation systems
US6313584B1 (en) 1998-09-17 2001-11-06 Tokyo Electron Limited Electrical impedance matching system and method
US6455437B1 (en) 1999-04-07 2002-09-24 Applied Materials Inc. Method and apparatus for monitoring the process state of a semiconductor device fabrication process
US6326597B1 (en) 1999-04-15 2001-12-04 Applied Materials, Inc. Temperature control system for process chamber
US7215697B2 (en) 1999-08-27 2007-05-08 Hill Alan E Matched impedance controlled avalanche driver
JP3626047B2 (ja) 1999-10-05 2005-03-02 株式会社ケンウッド 同期捕捉回路及び同期捕捉方法
US6407648B1 (en) 1999-11-15 2002-06-18 Werlatone, Inc. Four-way non-directional power combiner
US8114245B2 (en) 1999-11-26 2012-02-14 Tadahiro Ohmi Plasma etching device
JP2001196313A (ja) * 2000-01-12 2001-07-19 Huabang Electronic Co Ltd 半導体加工チャンバとその制御方法
US20110121735A1 (en) 2000-02-22 2011-05-26 Kreos Capital Iii (Uk) Limited Tissue resurfacing
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US7220937B2 (en) 2000-03-17 2007-05-22 Applied Materials, Inc. Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination
US7196283B2 (en) 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
US7030335B2 (en) 2000-03-17 2006-04-18 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6507155B1 (en) 2000-04-06 2003-01-14 Applied Materials Inc. Inductively coupled plasma source with controllable power deposition
US8744384B2 (en) 2000-07-20 2014-06-03 Blackberry Limited Tunable microwave devices with auto-adjusting matching circuit
US6677828B1 (en) 2000-08-17 2004-01-13 Eni Technology, Inc. Method of hot switching a plasma tuner
US7960670B2 (en) 2005-05-03 2011-06-14 Kla-Tencor Corporation Methods of and apparatuses for measuring electrical parameters of a plasma process
US7132996B2 (en) 2001-10-09 2006-11-07 Plasma Control Systems Llc Plasma production device and method and RF driver circuit
TW200300951A (en) 2001-12-10 2003-06-16 Tokyo Electron Ltd Method and device for removing harmonics in semiconductor plasma processing systems
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US6703080B2 (en) 2002-05-20 2004-03-09 Eni Technology, Inc. Method and apparatus for VHF plasma processing with load mismatch reliability and stability
US6819052B2 (en) 2002-05-31 2004-11-16 Nagano Japan Radio Co., Ltd. Coaxial type impedance matching device and impedance detecting method for plasma generation
WO2004003968A2 (en) * 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for arc suppression in a plasma processing system
US6830650B2 (en) 2002-07-12 2004-12-14 Advanced Energy Industries, Inc. Wafer probe for measuring plasma and surface characteristics in plasma processing environments
US20040016402A1 (en) 2002-07-26 2004-01-29 Walther Steven R. Methods and apparatus for monitoring plasma parameters in plasma doping systems
KR100486712B1 (ko) 2002-09-04 2005-05-03 삼성전자주식회사 복층 코일 안테나를 구비한 유도결합 플라즈마 발생장치
US6876155B2 (en) 2002-12-31 2005-04-05 Lam Research Corporation Plasma processor apparatus and method, and antenna
JP2004319961A (ja) * 2003-03-31 2004-11-11 Tokyo Electron Ltd 基板処理装置、基板処理方法、及び該方法を実行するプログラム
US7232767B2 (en) * 2003-04-01 2007-06-19 Mattson Technology, Inc. Slotted electrostatic shield modification for improved etch and CVD process uniformity
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
US7244343B2 (en) 2003-08-28 2007-07-17 Origin Electric Company Limited Sputtering apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
US7796368B2 (en) 2003-11-27 2010-09-14 Daihen Corporation High-frequency power supply system
US7307475B2 (en) 2004-05-28 2007-12-11 Ixys Corporation RF generator with voltage regulator
JP4099597B2 (ja) 2004-05-31 2008-06-11 ソニー株式会社 スイッチング電源回路
US7292045B2 (en) 2004-09-04 2007-11-06 Applied Materials, Inc. Detection and suppression of electrical arcing
KR20070098860A (ko) 2005-01-11 2007-10-05 이노베이션 엔지니어링, 엘엘씨 로드로 전달된 알에프 파워를 검출하는 방법 및 로드의복소 임피던스
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
JP4799947B2 (ja) 2005-02-25 2011-10-26 株式会社ダイヘン 高周波電源装置および高周波電源の制御方法
KR20070108229A (ko) 2005-03-05 2007-11-08 이노베이션 엔지니어링, 엘엘씨 전자적 가변 커패시터 어레이
EP2426796B1 (en) 2005-04-19 2014-11-12 Knite, Inc. Method and apparatus for operating traveling spark igniter at high pressure
CN101142638A (zh) 2005-08-04 2008-03-12 加利福尼亚大学董事 交错式三维芯片上差动电感器和变压器
US20080317974A1 (en) 2005-08-26 2008-12-25 Fujifilm Manufacturing Europe B.V. Method and Arrangement for Generating and Controlling a Discharge Plasma
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7453059B2 (en) * 2006-03-10 2008-11-18 Varian Semiconductor Equipment Associates, Inc. Technique for monitoring and controlling a plasma process
US7538562B2 (en) 2006-03-20 2009-05-26 Inficon, Inc. High performance miniature RF sensor for use in microelectronics plasma processing tools
US7829468B2 (en) * 2006-06-07 2010-11-09 Lam Research Corporation Method and apparatus to detect fault conditions of plasma processing reactor
US20080061901A1 (en) 2006-09-13 2008-03-13 Jack Arthur Gilmore Apparatus and Method for Switching Between Matching Impedances
US7554334B2 (en) 2006-09-28 2009-06-30 Applied Marterials, Inc. Matching network characterization using variable impedance analysis
US7795877B2 (en) 2006-11-02 2010-09-14 Current Technologies, Llc Power line communication and power distribution parameter measurement system and method
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
EP2097920B1 (de) 2007-07-23 2017-08-09 TRUMPF Hüttinger GmbH + Co. KG Plasmaversorgungseinrichtung
US8343305B2 (en) * 2007-09-04 2013-01-01 Lam Research Corporation Method and apparatus for diagnosing status of parts in real time in plasma processing equipment
US7889042B2 (en) 2008-02-18 2011-02-15 Advanced Magnet Lab, Inc. Helical coil design and process for direct fabrication from a conductive layer
EP2259662B1 (en) * 2008-03-26 2019-06-26 Kyosan Electric Mfg. Co., Ltd. Abnormal discharge suppressing device for vacuum apparatus
US8008960B2 (en) 2008-04-22 2011-08-30 Cisco Technology, Inc. Synchronous rectifier post regulator
US8391025B2 (en) 2008-05-02 2013-03-05 Advanced Energy Industries, Inc. Preemptive protection for a power convertor
US8575843B2 (en) 2008-05-30 2013-11-05 Colorado State University Research Foundation System, method and apparatus for generating plasma
JP2010016124A (ja) 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US9017533B2 (en) 2008-07-15 2015-04-28 Applied Materials, Inc. Apparatus for controlling radial distribution of plasma ion density and ion energy at a workpiece surface by multi-frequency RF impedance tuning
JP5295833B2 (ja) 2008-09-24 2013-09-18 株式会社東芝 基板処理装置および基板処理方法
US9515494B2 (en) 2008-09-27 2016-12-06 Witricity Corporation Wireless power system including impedance matching network
US8070925B2 (en) 2008-10-17 2011-12-06 Applied Materials, Inc. Physical vapor deposition reactor with circularly symmetric RF feed and DC feed to the sputter target
WO2010048076A2 (en) 2008-10-21 2010-04-29 Applied Materials, Inc. Plasma source for chamber cleaning and process
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
US20100159120A1 (en) 2008-12-22 2010-06-24 Varian Semiconductor Equipment Associates, Inc. Plasma ion process uniformity monitor
AU2009338115B2 (en) 2009-01-26 2014-04-10 Bsn Medical, Inc. Water resistant bandage
US8319436B2 (en) 2009-02-02 2012-11-27 Advanced Energy Industries, Inc. Passive power distribution for multiple electrode inductive plasma source
KR101841236B1 (ko) * 2009-04-03 2018-03-22 어플라이드 머티어리얼스, 인코포레이티드 고압 rf-dc 스퍼터링과 이 프로세스의 단차 도포성 및 막 균일성을 개선하기 위한 방법
US9287086B2 (en) 2010-04-26 2016-03-15 Advanced Energy Industries, Inc. System, method and apparatus for controlling ion energy distribution
US8716984B2 (en) 2009-06-29 2014-05-06 Advanced Energy Industries, Inc. Method and apparatus for modifying the sensitivity of an electrical generator to a nonlinear load
US8222822B2 (en) 2009-10-27 2012-07-17 Tyco Healthcare Group Lp Inductively-coupled plasma device
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8330432B2 (en) 2009-12-22 2012-12-11 Advanced Energy Industries, Inc Efficient active source impedance modification of a power amplifier
US8889021B2 (en) 2010-01-21 2014-11-18 Kla-Tencor Corporation Process condition sensing device and method for plasma chamber
JP5631088B2 (ja) 2010-07-15 2014-11-26 国立大学法人東北大学 プラズマ処理装置及びプラズマ処理方法
EP2623917B1 (en) 2010-09-29 2018-12-12 NGK Insulators, Ltd. Heat exchanger element
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US20120097104A1 (en) 2010-10-20 2012-04-26 COMET Technologies USA, Inc. Rf impedance matching network with secondary dc input
US8803424B2 (en) 2010-10-20 2014-08-12 COMET Technologies USA, Inc. RF/VHF impedance matching, 4 quadrant, dual directional coupler with V RMS/IRMS responding detector circuitry
US8491759B2 (en) 2010-10-20 2013-07-23 COMET Technologies USA, Inc. RF impedance matching network with secondary frequency and sub-harmonic variant
US9065426B2 (en) 2011-11-03 2015-06-23 Advanced Energy Industries, Inc. High frequency solid state switching for impedance matching
JP5578619B2 (ja) 2010-12-10 2014-08-27 パナソニック インテレクチュアル プロパティ コーポレーション オブ アメリカ 送信装置および受信装置
JP5711953B2 (ja) 2010-12-13 2015-05-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
KR101675625B1 (ko) 2011-01-04 2016-11-22 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 처리 부하에 대한 시스템 레벨 전원 공급
US8416008B2 (en) 2011-01-20 2013-04-09 Advanced Energy Industries, Inc. Impedance-matching network using BJT switches in variable-reactance circuits
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US9196463B2 (en) * 2011-04-07 2015-11-24 Varian Semiconductor Equipment Associates, Inc. System and method for plasma monitoring using microwaves
US9263241B2 (en) 2011-05-10 2016-02-16 Advanced Energy Industries, Inc. Current threshold response mode for arc management
US8471746B2 (en) 2011-07-08 2013-06-25 Tektronix, Inc. Digital-to-analog conversion with combined pulse modulators
US9759789B2 (en) 2011-12-02 2017-09-12 Koninklijke Philips N.V. Coil arrangement for MPI
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US10128090B2 (en) * 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US8932429B2 (en) 2012-02-23 2015-01-13 Lam Research Corporation Electronic knob for tuning radial etch non-uniformity at VHF frequencies
US8911588B2 (en) 2012-03-19 2014-12-16 Lam Research Corporation Methods and apparatus for selectively modifying RF current paths in a plasma processing system
WO2013159037A1 (en) 2012-04-19 2013-10-24 Luxim Corporation Electrodeless plasma lamp utilizing acoustic modulation
US9171700B2 (en) 2012-06-15 2015-10-27 COMET Technologies USA, Inc. Plasma pulse tracking system and method
JP5534366B2 (ja) 2012-06-18 2014-06-25 株式会社京三製作所 高周波電力供給装置、及びイグニッション電圧選定方法
KR101909571B1 (ko) 2012-08-28 2018-10-19 어드밴스드 에너지 인더스트리즈 인코포레이티드 넓은 다이내믹 레인지 이온 에너지 바이어스 제어; 고속 이온 에너지 스위칭; 이온 에너지 제어와 펄스동작 바이어스 서플라이; 및 가상 전면 패널
JP6247300B2 (ja) 2012-08-28 2017-12-13 アドバンスト・エナジー・インダストリーズ・インコーポレイテッドAdvanced Energy Industries, Inc. 3端子pinダイオード
EP2891388B1 (en) 2012-08-31 2021-07-21 AES Global Holdings, Pte. Ltd. Arc management with voltage reversal and improved recovery
US9082589B2 (en) 2012-10-09 2015-07-14 Novellus Systems, Inc. Hybrid impedance matching for inductively coupled plasma system
US9287098B2 (en) 2012-11-01 2016-03-15 Advanced Energy Industries, Inc. Charge removal from electrodes in unipolar sputtering system
US9226380B2 (en) 2012-11-01 2015-12-29 Advanced Energy Industries, Inc. Adjustable non-dissipative voltage boosting snubber network
US9129776B2 (en) 2012-11-01 2015-09-08 Advanced Energy Industries, Inc. Differing boost voltages applied to two or more anodeless electrodes for plasma processing
US9294100B2 (en) 2012-12-04 2016-03-22 Advanced Energy Industries, Inc. Frequency tuning system and method for finding a global optimum
KR102220078B1 (ko) 2012-12-14 2021-02-25 램 리써치 코포레이션 통계 데이터 데시메이션을 위한 통계의 계산
US10374070B2 (en) 2013-02-07 2019-08-06 John Wood Bidirectional bipolar-mode JFET driver circuitry
JP2014154421A (ja) 2013-02-12 2014-08-25 Tokyo Electron Ltd プラズマ処理装置、プラズマ処理方法、および高周波発生器
US9536713B2 (en) 2013-02-27 2017-01-03 Advanced Energy Industries, Inc. Reliable plasma ignition and reignition
JP5529311B1 (ja) 2013-03-04 2014-06-25 株式会社コスモライフ ウォーターサーバー
US9166481B1 (en) 2013-03-14 2015-10-20 Vlt, Inc. Digital control of resonant power converters
JP6217096B2 (ja) 2013-03-14 2017-10-25 株式会社リコー 高電圧インバータ及び誘電体バリア放電発生装置とシート材改質装置
CN104134532B (zh) 2013-05-03 2017-09-08 胜美达电机(香港)有限公司 一种可变内径的线圈及利用其制成的电子模块
US10469108B2 (en) 2013-05-09 2019-11-05 Lam Research Corporation Systems and methods for using computer-generated models to reduce reflected power towards a high frequency RF generator during a cycle of operations of a low frequency RF generator
US20140367043A1 (en) 2013-06-17 2014-12-18 Applied Materials, Inc. Method for fast and repeatable plasma ignition and tuning in plasma chambers
US9711335B2 (en) 2013-07-17 2017-07-18 Advanced Energy Industries, Inc. System and method for balancing consumption of targets in pulsed dual magnetron sputtering (DMS) processes
US9589767B2 (en) 2013-07-19 2017-03-07 Advanced Energy Industries, Inc. Systems, methods, and apparatus for minimizing cross coupled wafer surface potentials
JP6161482B2 (ja) 2013-09-19 2017-07-12 ルネサスエレクトロニクス株式会社 半導体記憶装置
US9148086B2 (en) 2013-10-22 2015-09-29 Advanced Energy Industries, Inc. Photovoltaic DC sub-array control system and method
US10580623B2 (en) * 2013-11-19 2020-03-03 Applied Materials, Inc. Plasma processing using multiple radio frequency power feeds for improved uniformity
US9844127B2 (en) 2014-01-10 2017-12-12 Reno Technologies, Inc. High voltage switching circuit
US9865432B1 (en) 2014-01-10 2018-01-09 Reno Technologies, Inc. RF impedance matching network
US9755641B1 (en) 2014-01-10 2017-09-05 Reno Technologies, Inc. High speed high voltage switching circuit
US9496122B1 (en) 2014-01-10 2016-11-15 Reno Technologies, Inc. Electronically variable capacitor and RF matching network incorporating same
US9196459B2 (en) 2014-01-10 2015-11-24 Reno Technologies, Inc. RF impedance matching network
US9728378B2 (en) 2014-05-02 2017-08-08 Reno Technologies, Inc. Method for controlling an RF generator
US9745660B2 (en) 2014-05-02 2017-08-29 Reno Technologies, Inc. Method for controlling a plasma chamber
US9345122B2 (en) 2014-05-02 2016-05-17 Reno Technologies, Inc. Method for controlling an RF generator
KR101907375B1 (ko) 2014-03-24 2018-10-12 어드밴스드 에너지 인더스트리즈 인코포레이티드 고효율 제너레이터 소스 임피던스의 제어를 위한 시스템 및 방법
US10224184B2 (en) 2014-03-24 2019-03-05 Aes Global Holdings, Pte. Ltd System and method for control of high efficiency generator source impedance
JP6369536B2 (ja) 2014-03-28 2018-08-08 株式会社村田製作所 コイルモジュール
US9591739B2 (en) 2014-05-02 2017-03-07 Reno Technologies, Inc. Multi-stage heterodyne control circuit
US9952297B2 (en) 2014-05-08 2018-04-24 Auburn University Parallel plate transmission line for broadband nuclear magnetic resonance imaging
US9544987B2 (en) 2014-06-30 2017-01-10 Advanced Energy Industries, Inc. Frequency tuning for pulsed radio frequency plasma processing
US10861682B2 (en) * 2014-07-31 2020-12-08 iSenseCloud, Inc. Test wafer with optical fiber with Bragg Grating sensors
US9386680B2 (en) 2014-09-25 2016-07-05 Applied Materials, Inc. Detecting plasma arcs by monitoring RF reflected power in a plasma processing chamber
US9578731B2 (en) 2014-10-16 2017-02-21 Advanced Energy Industries, Inc. Systems and methods for obtaining information about a plasma load
EP3266100A1 (en) 2014-12-16 2018-01-10 John Wood A power coupler
US10139285B2 (en) 2014-12-23 2018-11-27 Advanced Energy Industries, Inc. Fully-differential amplification for pyrometry
US10679823B2 (en) 2015-02-18 2020-06-09 Reno Technologies, Inc. Switching circuit
US9525412B2 (en) 2015-02-18 2016-12-20 Reno Technologies, Inc. Switching circuit
US10340879B2 (en) 2015-02-18 2019-07-02 Reno Technologies, Inc. Switching circuit
US9306533B1 (en) 2015-02-20 2016-04-05 Reno Technologies, Inc. RF impedance matching network
US9729122B2 (en) 2015-02-18 2017-08-08 Reno Technologies, Inc. Switching circuit
US10224186B2 (en) 2015-03-13 2019-03-05 Aes Global Holdings, Pte. Ltd Plasma source device and methods
US9812305B2 (en) 2015-04-27 2017-11-07 Advanced Energy Industries, Inc. Rate enhanced pulsed DC sputtering system
US10373811B2 (en) 2015-07-24 2019-08-06 Aes Global Holdings, Pte. Ltd Systems and methods for single magnetron sputtering
US10141788B2 (en) 2015-10-22 2018-11-27 Witricity Corporation Dynamic tuning in wireless energy transfer systems
US10008317B2 (en) 2015-12-08 2018-06-26 Smart Wires Inc. Voltage or impedance-injection method using transformers with multiple secondary windings for dynamic power flow control
JP2017134950A (ja) * 2016-01-26 2017-08-03 東京エレクトロン株式会社 プラズマ処理装置および制御方法
US9577516B1 (en) 2016-02-18 2017-02-21 Advanced Energy Industries, Inc. Apparatus for controlled overshoot in a RF generator
US9748076B1 (en) 2016-04-20 2017-08-29 Advanced Energy Industries, Inc. Apparatus for frequency tuning in a RF generator
KR20170127724A (ko) 2016-05-12 2017-11-22 삼성전자주식회사 플라즈마 처리 장치
JP6630630B2 (ja) 2016-05-18 2020-01-15 東京エレクトロン株式会社 プラズマ処理装置
US10229816B2 (en) 2016-05-24 2019-03-12 Mks Instruments, Inc. Solid-state impedance matching systems including a hybrid tuning network with a switchable coarse tuning network and a varactor fine tuning network
US9807863B1 (en) 2016-06-09 2017-10-31 Advanced Energy Industries, Inc. Switching amplifier
US10026592B2 (en) 2016-07-01 2018-07-17 Lam Research Corporation Systems and methods for tailoring ion energy distribution function by odd harmonic mixing
JP6469321B2 (ja) 2016-07-15 2019-02-13 三菱電機株式会社 共振型インバータ
KR101909479B1 (ko) 2016-10-06 2018-10-19 세메스 주식회사 기판 지지 유닛, 그를 포함하는 기판 처리 장치, 그리고 그 제어 방법
US10263577B2 (en) 2016-12-09 2019-04-16 Advanced Energy Industries, Inc. Gate drive circuit and method of operating the same
US10410836B2 (en) 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
US10109462B2 (en) 2017-03-13 2018-10-23 Applied Materials, Inc. Dual radio-frequency tuner for process control of a plasma process
KR102361417B1 (ko) 2017-09-26 2022-02-09 어드밴스드 에너지 인더스트리즈 인코포레이티드 플라즈마 점화를 위한 시스템 및 방법
US10020752B1 (en) 2017-09-26 2018-07-10 Vlt, Inc. Adaptive control of resonant power converters
EP3711079B1 (en) 2017-11-17 2023-07-05 Evatec AG Rf power delivery to vacuum plasma processing
JP6967954B2 (ja) * 2017-12-05 2021-11-17 東京エレクトロン株式会社 排気装置、処理装置及び排気方法
US10269540B1 (en) 2018-01-25 2019-04-23 Advanced Energy Industries, Inc. Impedance matching system and method of operating the same
US10510512B2 (en) 2018-01-25 2019-12-17 Tokyo Electron Limited Methods and systems for controlling plasma performance
US10916409B2 (en) 2018-06-18 2021-02-09 Lam Research Corporation Active control of radial etch uniformity
KR20230048459A (ko) 2018-06-22 2023-04-11 도쿄엘렉트론가부시키가이샤 제어 방법 및 플라즈마 처리 장치
JP2022508104A (ja) 2018-11-14 2022-01-19 エーイーエス グローバル ホールディングス, プライベート リミテッド 設定点追跡における最小遅延のためのインターリーブスイッチモード電力ステージの加算合成

Also Published As

Publication number Publication date
US20210217589A1 (en) 2021-07-15
EP4088303A4 (en) 2024-02-28
EP4088303A1 (en) 2022-11-16
WO2021142380A1 (en) 2021-07-15
CN114981916A (zh) 2022-08-30
JP2023509542A (ja) 2023-03-08
US11521832B2 (en) 2022-12-06

Similar Documents

Publication Publication Date Title
US11670488B2 (en) Fast arc detecting match network
US20210217588A1 (en) Azimuthal sensor array for radio frequency plasma-based wafer processing systems
US11521832B2 (en) Uniformity control for radio frequency plasma processing systems
US11887820B2 (en) Sector shunts for plasma-based wafer processing systems
US20210217587A1 (en) Plasma non-uniformity detection
US11830708B2 (en) Inductive broad-band sensors for electromagnetic waves
US11605527B2 (en) Pulsing control match network
US11961711B2 (en) Radio frequency match network and generator
US20240145221A1 (en) Inductive broad-band sensors for electromagnetic waves