KR20210108346A - Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급 - Google Patents

Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급 Download PDF

Info

Publication number
KR20210108346A
KR20210108346A KR1020210112559A KR20210112559A KR20210108346A KR 20210108346 A KR20210108346 A KR 20210108346A KR 1020210112559 A KR1020210112559 A KR 1020210112559A KR 20210112559 A KR20210112559 A KR 20210112559A KR 20210108346 A KR20210108346 A KR 20210108346A
Authority
KR
South Korea
Prior art keywords
substrate
precursor
supplying
processing station
reacting
Prior art date
Application number
KR1020210112559A
Other languages
English (en)
Other versions
KR102409456B1 (ko
Inventor
라메쉬 찬드라세카란
애드리언 라보이
다미엔 슬레빈
칼 에프. 리저
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20210108346A publication Critical patent/KR20210108346A/ko
Priority to KR1020220070920A priority Critical patent/KR102498418B1/ko
Application granted granted Critical
Publication of KR102409456B1 publication Critical patent/KR102409456B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Abstract

하나 이상의 반응 챔버들 내의 다수의 프로세싱 스테이션들에서 다수의 반도체 기판들 상에 물질의 층들을 증착하는 방법이 본 명세서에 개시된다. 상기 방법은, 공통 소스로부터 유동하는 프리커서를, 제1 프로세싱 스테이션에서 제1 기판에 공급하고, 상기 제2 프로세싱 스테이션에서 제2 기판에 공급하는 단계를 포함하고, 상기 공급하는 단계의 타이밍은, 상기 제2 기판에 실질적으로 공급되지 않는 제1 공급 페이즈 동안, 상기 제1 기판에 공급되고, 그리고 상기 제1 기판에 실질적으로 공급되지 않는 제2 공급 페이즈 동안, 상기 제2 기판에 공급되도록, 시차를 가진다. 또한, 하나 이상의 반응 챔버들 내에 포함되는 복수의 프로세싱 스테이션들 및 제1 및 제2 프로세싱 스테이션들에의 제1 기판 및 제2 기판의 공급에 시차를 두기 위한 기계-판독가능한 명령어들을 갖는 제어기를 갖는 장치가 본 명세서에 개시된다.

Description

ALD 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급 {SEQUENTIAL PRECURSOR DOSING IN AN ALD MULTI-STATION/BATCH REACTOR}
본 출원은, 양자 모두 "SEQUENTIAL PRECURSOR DOSING IN AN ALD MULTI STATION/BATCH REACTOR,"의 제목으로, 2013년 7월 12일 출원된 미국 임시 특허 출원 제61/845,911호, 및 2013년 12월 18일 출원된 미국 특허 출원 제14/133,246호에 대해 우선권을 주장하며, 상기 문헌들 모두는 그 전체로서 그리고 모든 목적을 위해 본 명세서에 참고로 포함된다.
집적 회로 (ICs) 의 제조 시에, 부분적으로 제조된 반도체 웨이퍼의 표면 상에 이미 존재하는 피처들의 형상에 실질적으로 부합되는, 얇은 필름의 물질을 증착하는 것이 때때로 바람직하다. 물리 기상 증착 (PVD), 화학 기상 증착 (CVD), 플라즈마 강화 화학 기상 증착 (PECVD) 등과 같은 반도체 상에 물질의 필름들을 증착하는 다양한 방법이 있다고 하더라도, 이러한 방법들은 종종 하부 물질에 충분히 등각을 이루지 (conformal) 않는 층들의 형성을 야기한다. 반면에, 원자층 증착 (ALD) 은 일반적으로 목표된 정도의 등각도로 얇은 필름들의 증착을 제공한다.
ALD는 얇은 흡착-제한된 층들 내에 물질을 증착함으로써 그의 특징적인 등각도를 달성한다. 각 증착된 층이 흡착-제한된 프로세스를 통해 형성되기 때문에, 각 층은 대략 동일한 두께이며, 이후에 다수의 층들로 구성되는 증착된 물질의 더 두꺼운 필름들이 연속되는 ALD 사이클들을 통해 형성될 수 있으며, 그 결과 하부 기판 표면의 형상에 부합하는 필름이 산출될 수 있다.
하나 이상의 반응 챔버들 내의 다수의 프로세싱 스테이션들에서 다수의 반도체 기판들 상에 물질의 층들을 증착하는 방법이 본 명세서에 개시된다. 방법들은 하나 이상의 반응 챔버들 내로 필름 프리커서를 도입하고, 프리커서가 흡착-제한된 방식으로 기판들의 표면 상으로 흡착되게끔 함으로써, 필름 프리커서를 프로세싱 스테이션들에서 기판들에 공급하는 단계, 및 이후에 하나 이상의 반응 챔버들 내부의 프로세싱 스테이션들을 둘러싸는 볼륨들로부터 흡착되지 않은 프리커서를 제거하는 단계를 포함할 수 있다. 필름 프리커서를 프로세싱 스테이션들에서 기판에 공급하는 단계는, 공통 소스로부터 유동하는 프리커서를, 제1 프로세싱 스테이션에서 제1 기판에 그리고 제2 프로세싱 스테이션에서 제2 기판에 공급하는 단계를 포함할 수 있다. 제2 기판에 실질적으로 공급되지 않는 제1 공급 페이즈 동안 제1 기판에 공급되고, 제1 기판에 실질적으로 공급되지 않는 제2 공급 페이즈 동안 제2 기판에 공급되도록, 공급하는 단계의 타이밍은 시차가 있을 (staggered) 수 있으며, 제1 공급 페이즈 및 제2 공급 페이즈 동안 프리커서는 공통 소스로부터 연속적으로 유동한다. 방법들은 또한, 기판들 상의 물질의 층을 형성하도록, 흡착되지 않은 프리커서를 제거한 이후에, 플라즈마와 흡착된 프리커서를 반응시키는 단계, 그리고 흡착된 프리커서를 반응시킨 이후에 존재하는 경우, 하나 이상의 반응 챔버들 내부의 프로세싱 스테이션들을 둘러싸는 볼륨들로부터 탈착된 프리커서 및/또는 반응 부산물을 제거하는 단계를 포함한다. 그 이후에, 방법들은 또한, 다수의 프로세싱 스테이션들에 다수의 기판들 상에 다수의 물질의 층들을 형성하도록, 전술한 단계들을 다수의 횟수로 반복하는 단계를 포함한다.
또한, 다수의 프로세싱 스테이션들에 다수의 반도체 기판들 상에 물질의 층들을 증착하기 위한 다수-스테이션 기판 프로세싱 장치들이 본 명세서에 개시된다. 장치들은 하나 이상의 반응 챔버들 내부에 포함되는 기판 홀더를 각각이 가지는 복수의 프로세싱 스테이션들. 프로세싱 스테이션들 각각으로 그리고 프리커서-전환 (divert) 유동 경로로 필름 프리커서의 유동을 지향시키기 위한 밸브 어셈블리, 하나 이상의 반응 챔버들 내부의 프로세싱 스테이션들을 둘러싸는 볼륨들로부터 프리커서를 제거하기 위해 밸브-동작되는 진공 소스, 및 밸브 어셈블리 및 진공 소스를 동작시키기 위해 기계-판독가능한 명령어들을 포함하는 제어기를 포함한다. 제어기는, 하나 이상의 반응 챔버들 내로 필름 프리커서를 도입하고 프리커서가 흡착-제한된 방식으로 기판들의 표면 상으로 흡착되게끔 함으로써 프로세싱 스테이션들에서 기판들에 필름 프리커서를 공급하는 단계를 위한 명령어들, 하나 이상의 반응 챔버들 내부의 프로세싱 스테이션들을 둘러싸는 볼륨들로부터 흡착되지 않은 프리커서를 제거하는 단계를 위한 명령어들, 기판들 상에 물질의 층을 형성하도록, 흡착되지 않은 프리커서를 제거한 이후에, 플라즈마와 흡착된 프리커서를 반응시키는 단계를 위한 명령어들, 흡착된 프리커서를 반응시킨 이후에 존재하는 경우, 하나 이상의 반응 챔버들 내부의 프로세싱 스테이션들을 둘러싸는 볼륨들로부터 탈착된 프리커서 및/또는 반응 부산물을 제거하는 단계를 위한 명령어들, 다수의 프로세싱 스테이션들에서 다수의 기판들 상에 다수의 물질의 층들을 형성하도록, (i) 내지 (iv) 단계를 다수의 횟수로 반복하는 단계를 위한 명령어들을 포함한다. 필름 프리커서를 프로세싱 스테이션들에서 기판들에 공급하는 단계는, 공통 소스로부터 유동하는 프리커서를, 복수의 프로세싱 스테이션 중 제1 프로세싱 스테이션에서 제1 기판에 공급하고, 복수의 프로세싱 스테이션 중 제2 프로세싱 스테이션에서 제2 기판에 공급하는 단계를 포함할 수 있다. (i) 단계의 공급하는 단계의 타이밍은, 제2 기판에 실질적으로 공급되지 않는 제1 공급 페이즈 동안, 제1 기판에 공급되고, 그리고 제1 기판에 실질적으로 공급되지 않는 제2 공급 페이즈 동안, 제2 기판에 공급되도록, 시차를 가질 (staggered) 수 있고, 그리고 프리커서는 제1 공급 페이즈 및 제2 공급 페이즈 동안 공통 소스로부터 연속적으로 유동한다.
도 1은 하나 이상의 ALD 사이클들을 수행하는데 이용될 수 있는 다중-스테이션 기판 프로세싱 장치 중 단일 프로세싱 스테이션으로 반응 물질들을 전달하기 위한 가스 전달 시스템을 개략적으로 도시한다.
도 2는 실리콘-함유 프리커서를 이용하여 4 개의 웨이퍼 기판들에의 동시 공급을 포함하는, 4 개의 ALD 사이클의 시퀀스의 일반적이고 병렬적인 수행에 대응하는 기준 타이밍도 (baseline timing diagram) 를 개략적으로 도시하며, 여기서 각 기판은 4 개의 상이한 프로세싱 스테이션들 중 하나에 있다.
도 3은 실리콘-함유 프리커서의 유동이 초기에 프로세싱 스테이션들 (1 및 2) 에서 기판으로 공급되고, 그 이후에 스테이션들 (3 및 4) 에서 기판들로 공급되도록 재지향되는, 4 개의 ALD 싸이클들의 시퀀스의 병렬 실행에 대응하는 타이밍도를 개략적으로 도시한다.
도 4는 도 3의 도시된 것과 유사하나, 전환 페이즈의 일부 부분이 누적 페이즈로 대체되는, 타이밍도를 개략적으로 도시한다.
도 5a는 2 개의 웨이퍼들이 실질적으로 동일 시각에 실질적으로 공급받지 않도록, 스테이션 1 내지 4에서 웨이퍼의 공급에 완전히 시차를 두게 하는 (fully stagger) 공급 타이밍의 시퀀스를 제공하는 타이밍도를 개략적으로 도시한다.
도 5b는 스테이션 1 및 2에서의 후-공급 RF 퍼지 단계가 스테이션 3 및 4에서의 후-공급 퍼지 단계들과 일치하도록, 유사하게, 스테이션 1 및 2에서의 후-공급 퍼지가 스테이션 3 및 4에서의 후-RF 퍼지와 일치하도록, 두 개의 페이즈들로 기판 공급에 시차를 두는 타이밍도를 개략적으로 도시한다.
도 6은 단일 반응 챔버 내에 위치되는 단일 프로세싱 스테이션으로 도시되는 기판 프로세싱 스테이션의 일 실시예를 개략적으로 도시한다.
도 7은 듀얼-플레넘 샤워헤드의 일 실시예를 개략적으로 도시한다.
도 8은 공통 저압 반응 챔버에서 복수의 프로세싱 스테이션들을 포함하는 다중-스테이션 기판 프로세싱 장치의 일 실시예를 개략적으로 도시한다.
도 9는 도 8에 개략적으로 도시된 것과 유사하나, 추가 피드 라인 밸브 및 다양한 반응 물질들을 위한 다양한 혼합 용기를 추가로 채용하는, 공통 저압 반응 챔버에서 복수의 프로세싱 스테이션들을 포함하는 다중-스테이션 기판 프로세싱 장치의 일 실시예를 개략적으로 도시한다.
이하의 설명에서, 수많은 구체적인 설명들이 본 발명의 충분한 이해를 제공하기 위해 이루어진다. 본 발명은 이러한 구체적인 설명들의 전부 또는 일부 없이도 실행될 수 있다. 다른 예들에서, 익히 공지된 프로세스 동작들은 본 발명을 불필요하게 모호하게 만들지 않기 위해 상세하게 설명되지 않았다. 본 발명이 구체적인 상세한 설명들을 참조하여 설명될 것이라고 하더라도, 이러한 구체적인 상세한 설명들은 본 명세서에 개시된 본 발명의 개념들의 목적 범위를 제한하려는 의도가 아님을 이해하여야 한다.
위에서 설명된 바와 같이, ALD는 유전체 물질의 등각 필름을 증착하기 위한 효과적인 방안을 제공하나, 프로세스의 흡착-제한된 특성 때문에, 각 ALD 사이클은 오직 물질의 얇은 필름을 증착한다. 따라서, 물질의 단일의 흡착-제한된 단분자층 (monolayer) 의 형성을 야기하는, 일반적인 ALD 사이클 내의 단계들의 시퀀스 (sequence) 는 목표된 두께의 등각 필름을 형성하기 위해 일반적으로 다수의 횟수로 반복된다. 예를 들어, "PLASMA ACTIVATED CONFORMAL FILM DEPOSITION"의 제목으로 2011년 4월 11일 출원된 미국 특허 출원 제13/084,399호, "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION"의 제목으로 2011년 9월 23일 출원된 미국 특허 출원 제13/242,084호, 및 "PLASMA ACTIVATED CONFORMAL DIELECTRIC FILM DEPOSITION"의 제목으로 2011년 9월 1일 출원된 미국 특허 출원 제13/224,240호에 더 상세하게 개시된 바와 같이 (상기 문헌들 각각은 모든 목적을 위해 그 전체로서 본 명세서에 참고로 포함됨), (실리콘-함유 유전체 물질 같은) 유전체 물질을 증착하기 위한 ALD 사이클은, (i) 유전체 필름 프리커서를 반응 챔버 내의 프로세싱 스테이션에서 기판에 공급하는 동작 및 프리커서가 흡착-제한된 방식으로 기판의 표면 상으로 흡착되게끔 하는 동작, (ii) 반응 챔버로부터, 또는 보다 구체적으로는, 프로세싱 스테이션을 둘러싸는 볼륨으로부터 흡착되지 않은 프리커서 및/또는 반응 부산물을 제거하는 동작 (예를 들어 퍼지하는 동작), (iii) (일부 실시예들에서, 원격으로 생성될 수 있기는 하나), 적절한 RF 전력의 인가로 반응 챔버 내에서 일반적으로 생성되는 산화성 플라즈마와 흡착된-프리커서를 반응시키는 동작, 그리고 마지막으로 (iv) 반응 챔버로부터, 또는 보다 구체적으로는, (기판이 위치되는) 프로세싱 스테이션을 둘러싸는 볼륨으로부터 임의의 흡착된 유전체 필름 프리커서 (또는 다른 반응 물질들 또는 물질들) 을 (다시, 종종 퍼지함으로써) 제거하는 동작을 포함할 수 있다. 동작들 (i) 내지 (iv) 는 이후에, 다수의 유전체 물질의 층들을 형성하여 목표된 두께의 유전체 물질의 필름을 형성하도록 다수의 횟수로 반복될 수 있다. 화학 물질들을 형성하는 일부 유전체 필름에서, - 유전체 필름 "프리커서"로서 지칭되는 것에 추가하여 - 보조 반응 물질 또는 공-반응 물질 (co-reactant) 이 또한 채용될 수 있다. 이러한 특정 실시예들에서, 보조 반응 물질 또는 공-반응 물질이 단계들 (i) 내지 (iv) 의 서브셋 동안 또는 단계들 (i) 내지 (iv) 각각 동안 내내 연속적으로 유동될 수 있다.
위에서 참고로서 열거되고 포함된 문헌 (미국 특허 출원 제13/084,399호, 제13/242,084호, 및 제13/224,240호) 에 개시된 바와 같이, 다양한 필름-형성 화학 물질들이 본 명세서에 개시된 ALD 프로세스들을 통해 다양한 필름 형태들을 증착하는데 이용될 수 있다. 예를 들어, 임의의 적절한 실리콘-함유 반응 물질/필름-프리커서 및 산화제가 실리콘 산화물들을 증착하기 위해 이용될 수 있다. 유사하게, 실리콘 질화물들의 증착을 위해, 임의의 적절한 실리콘 함유 반응 물질/필름-프리커서 및 질소-함유 공-반응 물질이 이용될 수 있다. 또한, 금속 산화물들 또는 금속 질화물들의 증착을 위해, 임의의 적절한 금속-함유 반응 물질들/필름-프리커서 및 공-반응 물질들이 이용될 수 있다. 보조/공-반응 물질들의 예들은 산소, 오존, 수소, 일산화탄소, 이산화 질소, 암모니아, 알킬 아민들 등을 포함한다. 예를 들어, 산화물 필름은 실리콘 함유 필름 프리커서로서 비스 (tert-부틸아미노) 실란 (bis(tert-butylamino)silane; BTBAS) 을 이용하여 ALD 프로세스에 의해 증착될 수 있다. 이러한 예에서, 산소 또는 이산화 질소가, 예를 들어, ALD 단계 (iii) 에서, 실시예들에 따라, BTBAS (ALD 단계 (i)) 의 전달 동안 연속적으로 유동할 수도 있고 유동하지 않을 수도 있는, 산화제로서 이용될 수 있다.
일반적으로, 다양한 프로세스 타이밍 시퀀스들을 채용하는 개시된 다수의 기반 증착 방법들 및 관련 장치들이 매우 다양한 증착된 필름 형태들 및 증착 화학 물질들에 적용될 수 있다. 개시된 ALD 프로세스들은 유전체 물질의 필름들을 형성하도록 채용될 수 있으나, 실시예에 따라, 전도성 및 반도체 물질의 필름들이 또한 형성될 수 있다. 질화물들 및 산화물들은 대표적인 유전체 물질들이나, 탄화물, 옥시나이트라이드들 (oxynitride), 탄소-도핑된 산화물들, 붕소화물둘 등이 또한 형성될 수 있다. 산화물들은 도핑되지 않은 실리케이트 글래스 (USG) 및 도핑된 실리케이트 글래스를 포함하는 매우 다양한 물질들을 포함한다. 도핑된 실리케이트 글래스들의 예들은 보론 도핑된 실리케이트 글래스 (BSG), 인 도핑된 실리케이트 글래스 (PSG) 및 보론 인 도핑된 실리케이트 글래스 (BPSG) 를 포함한다.
"실리콘-함유 필름 프리커서"는, 상기 프리커서로부터 실리콘 포함 필름을 형성하는데 이용될 수 있는, 실리콘 함유 반응 물질 (reagent) 및/또는 화합물이다. 실리콘-함유 필름 프리커서는, 예를 들어, 실란, 헤일로실란 (halosilane) 또는 아미노실란 (aminosilane) 일 수 있다. 실란은 수소 및/또는 탄소 그룹들을 포함하나 할로겐을 포함하지 않는다. 실란의 예들은 실란 (SiH4), 디실란 (Si2H6), 및 메틸실란 (methylsilane), 에틸실란(ethylsilane), 이소프로필실란 (isopropylsilane), t-부틸실란 (t-butylsilane), 디메틸실란 (dimethylsilane), 디에틸실란 (diethylsilane), 디-t-부틸실란 (di-t-butylsilane), 알릴실란 (allylsilane), sec-부틸실란 (sec-butylsilane), 덱실실란 (thexylsilane), 이소아미실란 (isoamylsilane), t-부틸실란 (t-butyldisilane), 디-t-부틸디실란 (di-t-butyldisilane) 등과 같은 유기 실란들이다. 헤일로실란은 적어도 하나의 할로겐 그룹을 포함하고, 수소들 및/또는 탄소 그룹들을 포함하거나 포함하지 않을 수 있다. 헤일로실란들의 예들은 요오드실란 (iodosilanes), 브로모실란 (bromosilanes), 클로로실란 (chlorosilanes) 및 플루오로실란 (fluorosilanes) 이다. 헤일로실란들, 특히 플루오로실란들은, 특정 실시예들에서 실리콘 물질들을 에칭할 수 있는 반응성 할로겐 종들을 형성할 수 있다 하더라도, 실리콘-함유 필름 프리커서는 플라즈마가 스트라이킹될 (struck) 때에 존재하지 않는다. 구체적인 클로로실란들은 테트라클로로실란 (tetrachlorosilane; SiCl4), 트리클로로실란 (trichlorosilane; HSiCl3), 디클로로실란 (dichlorosilane; H2SiCl2), 모노클로로실란 (monochlorosilane; ClSiH3), 클로로알릴실란 (chloroallylsilane), 클로로메틸실란 (chloromethylsilane), 디클로로메틸실란 (dichloromethylsilane), 클로로디메틸실란 (chlorodimethylsilane), 클로로에틸실란 (chloroethylsilane), t-부틸클로로실란 (t-butylchlorosilane), 디-t-부틸클로로실란 (di-t-butylchlorosilane), 클로로이소프로필실란 (chloroisopropylsilane), 클로로-sec-부틸실란 (chloro-sec-butylsilane), t-부틸디메틸클로로실란 (t-butyldimethylchlorosilane), 덱실디메틸클로로실란 (thexyldimethylchlorosilane) 등이다. 아미노실란은 실리콘 원자에 결합된 적어도 하나의 질소 원자를 포함하나, 또한 수소들, 산소들, 할로겐들 및 탄소들을 포함할 수 있다. 아미노실란들의 예들은 모노-, 디-, 트리- 및 테트라-아미노실란 (각각이, H3Si(NH2)4, H2Si(NH2)2, HSi(NH2)3 및 Si(NH2)4) 이며, 또한 치한된 모노-, 디-, 트리- 및 테트라-아미노실란, 예를 들어, 부틸아미노실란 (butylaminosilane), 메틸아미노실란 (methylaminosilane), tert-부틸아미노실란 (tert-butylsilanamine), 비스(3급부틸아미노)실란 ((bis(tertiarybutylamino)silane) (SiH2(NHC(CH3)3)2 (BTBAS), tert-부틸실릴카바메이트 (tert-butyl silylcarbamate), SiH(CH3)-(N(CH3)2)2, SiHCl-(N(CH3)2)2, (Si(CH3)2NH)3 등이다. 아미노실란의 추가 예는 트리실릴아민 (N(SiH3)3) 이다.
일부 실시예들에서, 증착된 필름은 금속을 포함한다. 형성될 수 있는 금속-함유 필름의 예들은 알루미늄, 티타늄, 하프늄, 탄탈, 텅스텐, 망간, 마그네슘, 스트론륨 등의 질화물들 및 산화물들과 원소 금속 필름을 포함한다. 금속-함유 필름 프리커서의 예는 금속 알킬아민들, 금속 알콕시드들, 금속 알킬아미드들, 금속 할로겐화물들, 금속 ß-디케토네이트들 (ß-diketonates), 금속 카르보닐들, 유기금속들 등을 포함할 수 있다. 적절한 금속-함유 필름 프리커서들은 필름에 혼입되는 것이 바람직한 금속을 포함할 것이다. 예를 들어, 탄탈-함유 층은 펜타키스(디메틸아미도)탄탈 (pentakis(dimethylamido)tantalum) 을 암모니아 또는 다른 환원 물질과 반응시킴으로써 증착될 수 있다. 채용될 수 있는 금속-함유 프리커서들의 추가 예들은 트리메틸알루미늄 (trimethylaluminum), 테트라에톡시티타늄 (tetraethoxytitanium), 테트라키스-디메틸-아미도 티타늄 (tetrakis-dimethyl-amido titanium), 하프늄 테트라키스(에틸메틸아미드) (hafnium tetrakis(ethylmethylamide)), 비스(시클로펜타디에닐)망간 (bis(cyclopentadienyl)manganese), 비스(n-프로필시클로펜타디에닐)마그네슘 (bis(n-propylcyclopentadienyl)magnesium) 등을 포함한다.
일부 실시예들에서, 증착된 필름은 질소를 함유하며, 질소-함유 공-반응 물질이 이용될 수 있다. "질소-함유 공-반응 물질"은 적어도 하나의 질소, 예를 들어, 메틸아민, 디메틸아민, 에틸아민, 이소프로필아민, t-부틸아민, 디-t-부틸아민, 시클로프로필아민, sec-부틸아민, 시클로부틸아민, 이소아밀아민 (isoamylamine), 2-메틸부탄-2-아민 (2-methylbutan-2-amine), trimethylamine, 디이소프로필아민, 디에틸이소프로필아민, 디-t-부틸히드라진 (di-t-butylhydrazine) 같은 아민들 (예를 들어, 탄소 기반 (bearing) 아민들), 히드라진 (hydrazine), 암모니아 및 아닐린들 (anilines), 피리딘들 (pyridines), 및 벤질아민들(benzylamines) 같은 아민 함유 방향족 (aromatic) 를 함유한다. 아민들은 1차, 2차, 3차 또는 4차 (quaternary) (예를 들어, 테트라알킬암모늄 화합물들) 일 수 있다. 질소-함유 공-반응 물질은 질소와는 다른 헤테로원자들을 함유할 수 있고, 예를 들어, 히드록실아민, t-부틸옥시카르보닐 아민 및 N-t-부틸히드록실아민 (N-t-butyl hydroxylamine) 은 질소-함유 반응 물질들이다.
특정한 실시예에서, 산소-함유 산화 반응 물질이 이용된다. 산소-함유 산화 반응 물질들의 예들은 산소, 오존, 이산화질소, 일산화탄소, 이들의 혼합물들 등을 포함한다.
다시, 다수의 ALD 사이클들이 등각 층들의 스택들을 빌드 업 (build up) 하기 위해 반복될 수 있다. 일부 실시예들에서, 각 층은 실질적으로 동일한 조성을 가질 수 있으나, 다른 실시예에서, 연속으로 ALD 증착된 층들은 상이한 조성들을 가질 수도 있고, 또는 특정한 실시예들에서, 조성은 층에서 층으로 교대될 수 있거나, 상이한 조성들을 갖는 층들의 시퀀스가 반복될 수 있다. 다시, 특정한 실시예들이 (위에서 참고로서 포함된) 미국 특허 출원 제13/242,084호에 더 상세하게 개시된다. 또한, 본 명세서에 개시된 ALD-관련 기술들, 동작들, 방법들, 장치들, 디바이스들 및 시스템들이 실리콘-함유 필름, 구체적으로 실리콘-함유 유전체 필름, 더 구체적으로 실리콘 산화물 및/또는 실리콘 질화물-함유 유전체 필름의 층들을 증착하는 개념 면에서 개시된다고 하더라도, 본 명세서에 개시된 기술들, 방법들, 장치들, 디바이스들 및 시스템들은 또한 다른 형태의 유전체 필름, 또는 일반적으로, 상이한 형태의 화학적 프리커서들 및 반응 물질들로 형성된 상이한 화학 물질들을 갖는 다른 필름들을 증착하는데 이용될 수 있다고 이해될 수 있음을 주목해야 한다.
하나 이상의 ALD 사이클들을 수행하는데 이용될 수 있는 다중-스테이션 반응 챔버 (미도시) 의 단일 스테이션에 반응 물질들을 전달하기 위한 가스 전달 시스템 (100) 이 도 1에 개략적으로 도시된다. 상기 도면은 ALD 반응 물질 전달을 위해 제공되는 가스 유동 라인들, 매니폴드들, 밸브들, 조정기들, 필터들, 샤워헤드 등의 구성을 도시한다. 가스 라인들은 가스 유동의 방향을 나타내는 화살표들로 얇은 실선들로서 개략적으로 표시되고: 밸브들은 원 내의 교차 선들 (crossed lines) 로 도면 내에 도시되고: 원 내의 조정기 화살표로: 매니폴드는 "매니폴드"라 라벨링된 실선 박스로: 필터들은 가스 라인의 측면에서 곡선들로 도시된다. 가스 유동 라인들은 대부분은 가스를, 개략적으로 도시된 바와 같은, 도시되지 않은 반응 챔버로 ALD 반응 물질들을 전달하는 장치인 "샤워헤드" (110) 로 보낸다 (route).
따라서, 도 1에 도시된 가스 전달 시스템 (100) 의 구체적인 실시예를 참고하여, - ALD 공급 및 흡착 단계 (상기의 단계 (i) 참조) 와 관련된 - 필름 프리커서 (예를 들어, 실리콘-함유 필름 프리커서) 의 반응 챔버로의 전달은, 프리커서를 ("LPDS"로 라벨링된) 액체 전달 시스템 (120) 으로부터 ("HIM"으로 라벨링된) 가열된 주입 매니폴드 (125) 를 도입하는 것을 포함할 수 있고, 여기서 프리커서는 가스 소스 (130) ("매니폴드 A") 로부터 유래된 사전 가열된 (사전 가열기 (124) 참조) 불활성 캐리어 가스와 혼합된다. 캐리어 가스는 이후에 프리커서를, 어셈블리 (160) 가 (가스 유동 거리의 관점에서) 그의 반응 챔버로의 극한 접근성 때문에 종종 사용 지점 (point-of-use) 밸브 매니폴드 (PVM) 로서 지칭되는, 네 개의 밸브들 (169, 164, 165, 166) 의 어레이로 전달한다. 구체적으로, PVM (160) 으로부터, 밸브 (165) 가 개방되고 밸브 (166) 가 폐쇄되면서, 프리커서 및 캐리어 가스가 샤워헤드 (110) 로 그리고 반응 챔버 내로 직접 유동된다. 전술한 네 개의 밸브들 (164, 165, 166, 169) 를 포함할 수 있는 적절한 PVMs의 예들은 "POINT OF USE VALVE MANIFOLD FOR SEMICONDUCTOR FABRICATION EQUIPMENT"의 제목을 가지며 2012년 9월 25일 출원된 미국 특허 출원 제13/626,717호에 개시되며, 이는 그 전체로서 그리고 모든 목적을 위해 참고로서 본 명세서에 포함된다.
유사하게, 도 1의 전달 시스템 (100) 은 또한 - 산화성 플라즈마 및 이와 흡착된 실리콘-함유 프리커서와의 반응 (상기의 단계 (iii) 참조) 과 관련된 - ALD에서 이용하기 위해 반응 챔버로 산화제의 전달을 도시한다. 구체적으로, 가스 소스 (140) ("매니폴드 C") 가 도면에 도시된 PVM (160) 의 밸브 (169) 를 통해 샤워헤드 (110) 로 유동되는 O2 및/또는 N2O 가스의 소스로서 도시된다. 또한, 매니폴드 C (140) 및 "매니폴드 D" (170) 의 존재는, 본 구성의 개념 면에서, 그들이 후-공급 퍼지 (상기 단계 (ii)) 및 후-RF 퍼지 (상기 단계 (iv)) 를 위한 불활성 가스를 전달하는데 이용될 수 있다는 점에 대해 유의해야 한다. 매니폴드 D (170) 와 관련하여, 가스 전달 시스템 (100) 은, 매니폴드 D로부터 공급된 퍼지 가스가 "사전 가열기" (171) 를 통과하고, 이후에 샤워헤드를 향하는 두 개의 경로들 상에서 유동되도록 구성된다. 양 경로들 상에서 유동하는 퍼지 가스는 조정기 (172 또는 173) 를 통과하고, 이후에 밸브들 (173A 및 173C 또는 175A 및 175C) 에 의해 상류 및 하류 측면의 (flanked) 필터 (173B 또는 175B) 를 지나간다 (pass on through). 하나의 경로는 이후에 샤워헤드 (110) 로 직접 진행하고, 반면에 다른 하나의 경로는 먼저, 공급 단계 이후에 POS 디바이스 내의 링거링된 (lingering) 임의의 잔류 반응 물질 가스들을 효과적으로 추가 퍼지하기 위해, POS (160) 로 연결된다. 또한, 일부 실시예들에서, 불활성 퍼지 가스의 이용을 채용함 없이 (예를 들어, 밸브-작동되는 진공 소스의 작동을 통해) 반응 챔버로 진공을 인가함으로써, 반응 챔버 내의 프로세싱 스테이션을 둘러싸는 볼륨으로부터 프리커서가 제거될 수 있다.
추가로, 도 1은 (도면에 표시된 바와 같은) NF3-기반의 플라즈마를 채용하는 원격 플라즈마 소스 (195) 를 도시하며, 이의 반응 챔버 및 프로세싱 스테이션으로의 유동적 커플링은 밸브 (116) 에 의해 조정된다. 마지막으로, 일부 실시예들에서, (밸브 (144) 에 의해 조정될 때에) 매니폴드 B (150) 는, POS (160) 의 밸브 (165) 를 통해 샤워헤드 (110) 로 유동되는, 공-반응 물질 가스 - 예를 들어, 암모니아 같은 질소-함유 공-반응 물질 - 의 소스로서의 역할을 할 수 있다. 공-반응 물질 가스들은 도 8 및 9에 관하여 위에서 그리고 또한 아래에서 논의된다.
위에서 설명한 바와 같이, 등각 필름은 일반적으로 다수의 ALD 사이클들을 순서대로 수행함으로써 ALD를 통해 증착된다. ALD에 의해 증착되고, 일반적으로 실리콘-함유 프리커서의 단일 단분자층 (monolayer) 으로 형성되는, 각 층은 매우 얇다는 사실 때문에, 임의의 실질적인 두께의 필름을 일반적으로 증착하는 단계는 일반적으로 다수의 ALD 사이클들을 포함하며, 따라서, 일반적으로, 상대적으로 시간 소모적일 수 있다. 따라서, 일반적으로, 각각의 개별적인 ALD 사이클은 - 반응 시퀀스의 주어진 화학적 속도 (kinetics) 및 주어진 반응기 설정의 제한들 면에서 - 합리적으로 가능한 빠르게 진행되는 것이 바람직하다. 도 1에 개략적으로 도시된, 화학적 전달 시스템은 일반적으로, 요구되는 프리커서들, 산화제들 등의 전달을 다수의 ALD 사이클들의 코스 (course) 동안 빠르게 연속으로, 더욱이, 이러한 종들이 요청되는 경우 프리커서들, 산화제들 및 임의의 다른 선택적인 반응 물질들의 안정적이고 일관된 유동을 제공하기 위해, 반복적이게 시작, 중지 및 재시작하는 것이 필요하다. 그러나, 다수의 경우들에서, ALD 사이클 시간은 밸브 및 유동 제어 디바이스 작동 시간과 유사하며, 이는 이러한 유동 제어 디바이스들이 실리콘-함유 프리커서 및/또는 다른 반응 물질들의 정상 상태 유동을 제공하는데 걸리는 시간이 사이클 시간의 목표된 추가 감소들을 방지하는 제한 요인이 된다는 것을 의미한다. 일시적인 또는 불안정한 유동 조건들이 ALD를 통해 증착되는 층들 내의 원하지 않는 변화들을 야기할 수 있기 때문에, 이러한 정상 상태 유동 조건들은 ALD 프로세스의 일관성을 위해 중요하다.
밸브 및 유동 제어 디바이스 작동 시간에 의해 부여되는 제한들을 회피하기 위한 하나의 방법은, 유동 제어 디바이스들을 개방 위치들로 남겨놓아서 반응 물질들의 정상-상태 유동을 달성하고, ALD 사이클의 타이밍 요건들에 의해 요구되는 바와 같이, 반응 챔버로부터의 그리고 이로의 반응 물질들의 유동을 "전환하는" 것이다. - 반응 물질들은 그들의 소스로부터 유동된 채로 남겨지나 "덤프" 모드 와 "웨이퍼 캐비티" 모드 사이에서 앞뒤로 전환되는 - "전환"의 이용이 사이클 시간의 목표된 감소를 달성하나, 이에 의해 값 비싼 (valuable) 실리콘-함유 프리커서 및 다른 반응 물질들을 낭비하는 대가를 치를 수 있다. 추가로, 프리커서 및/또는 다른 반응 물질들의 희생 외에도, 이러한 덤핑은 또한 웨이퍼 프로세싱 시스템의 배기/스크러버 서브-시스템 상의 추가 부하를 일으킨다.
따라서, 일반적인 밸브들 및 유동 제어 디바이스들의 타이밍 제한들을 회피하는 다양한 다른 방법들이 본 명세서에 개시되며, 이들은 바로 개시된 단순한 유동 전환 과정에 비해 낭비된 프리커서 및 다른 반응 물질들의 양을 감소시킨다. 이러한 방법들은 다수의 웨이퍼들 상에서 병렬로 (in parallel) ALD를 수행하는 것이 때때로 바람직한데, 따라서, ALD를 수행할 수 있는 다수의 프로세싱 스테이션들이 종종 동시에 다수의 웨이퍼들 상에 ALD를 수행하도록 동시에 작동된다는 사실의 이점을 취한다. 일부 실시예들에서, 이러한 다수의 프로세싱 스테이션들은 동일한 프로세싱 챔버 - 예를 들어, 각각이 ALD를 수행할 수 있는 단일 반응 챔버 내의 네 개의 프로세싱 스테이션들을 가지며, 도 6 내지 9를 참고하여 아래에서 개시되는 웨이퍼 프로세싱 장치들 참조 - 내에 존재할 수 있으며, 그러나, 일부 실시예들에서, 이러한 다수의 프로세싱 스테이션들은 또한 분리된 반응 챔버들 내에 존재할 수도 있다. 어느 하나의 구성에서, 본 명세서에 개시된 ALD를 수행하는 방법들은, 단순히 프리커서 및/또는 다른 반응 물질들의 필요치 않은 유동을 전환하고 덤핑하는 것 대신에, - 적어도 단계들 (i) 내지 (iv) 의 타이밍 및 시차 두기에 의해 허용되는 범위로 - 공통 소스로부터의 프리커서의 유동이 상이한 프로세싱 스테이션으로부터 그리고 이로 재지향될 수 있도록, 다양한 ALD 단계들 (i) 내지 (iv) (위의 내용 참조) 의 수행의 타이밍을 맞추고 (timing), 이의 수행에 시차를 둠 (staggering) 으로써 실리콘-함유 프리커서 및 다른 반응 물질들을 보호하도록 (conserve) 동작하고, 다수의 웨이퍼들 상에 ALD 사이클을 동시에 수행한다.
예를 들어, 도 2는 실리콘-함유 프리커서를 이용하여 4 개의 웨이퍼 기판들에의 동시 공급을 포함하는, 4 개의 ALD 사이클의 시퀀스의 일반적이고 병렬적인 수행에 대응하는 기준 타이밍도 (baseline timing diagram) 를 개략적으로 도시하며, 여기서 각 기판은 4 개의 상이한 프로세싱 스테이션들 중 하나에 있다. 도면이 (각 스테이션에 대한) ALD의 단일 사이클을 나타내고, 그래서 단계들의 표시된 시퀀스가 다수의 ALD 사이클들을 표현하기 위해 엔드-투-엔드 (end-to-end) 로 결합되는 것을 나타내는 극좌 (far left) 및 극우 (far right) 상의 수직 파선들로, 시간이 도면 내에서 좌에서 우로 이동된다. 위에서 (i), (ii), (iii), 및 (iv) 로 지칭되는 ALD 사이클 내의 단계들은, '공급' (211, 212, 213, 214), '후-공급 퍼지' (220), '산화제/RF' (230) (산화성 플라즈마와 흡착된 프리커서를 반응시키는 단계). 및 '후-RF 퍼지' (221) (또는 도 5a에서 짧게 표현된 "후RF") 로 도 2에서 라벨링된 트레이스들 (traces) 에 대응한다.
타이밍도 (timing diagram) 내의 상부에서 하부로 도시된 것은, 4 개의 프로세싱 스테이션들 각각으로 지향된/재지향된 프리커서 유동을 도시하는 4 개의 트레이스들 (211, 212, 213, 214) 로 표시되는, ("스테이션 1" 내지 "스테이션 4"로 라벨링된) 프로세싱 스테이션들로의 실리콘-함유 프리커서 전달을 개략적으로 도시한 것이다. 다섯 번째 트레이스 (215) 로 개략적으로 표시된 것은, (즉, 프로세싱 스테이션들로 향하지 않는) 전환 유동 경로로 전환된 프리커서 유동이다. 이러한 5 개의 트레이스들 (211, 212, 213, 214, 및 215) 은, 도 2 내에서 최상부 (top-most) 트레이스 (210) 로 개략적으로 도시된 총 프리커서 유동의 분해 (breakdown) 를 나타낸다. 도면은 또한 - "퍼지 가스"로 라벨링된 트레이스 (220/221) 로 도시되는 - 후-공급 (dose) 및 후-RF 퍼지 가스 유동의 타이밍뿐만 아니라 -"산화제/RF" 로 라벨링된 트레이스 (230) 로 도시되는 - 흡착된 프리커서와의 반응 및 산화성 플라즈마 생성의 타이밍을 개략적으로 예시한다. 다른 것들 중에서, 도 2에서의 총 실리콘-함유 프리커서 유동의 분해는, 일부의 실시예들에서, 도 1에서 도시된 것과 같은 단일 가스 전달 시스템이 프리커서를 예를 들어, (아마도 동일한 반응 챔버 내에 모두 포함되는) 4 개의 프로세싱 스테이션 구성 각각에 유동시키는데 사용될 수 있다는 것을 나타낸다. 또한, 프로세싱 스테이션 각각에 대한 전용 가스 전달 시스템을 제공하는 구성과 같은, 보다 더 복잡한 가스 전달 시스템이 사용될 수 있다는 점이 물론 이해되어야 한다.
도 2의 타이밍도를 통해 개략적으로 예시되는 기준 동작 시퀀스에서, 4 ALD 싸이클들의 프리커서 공급 단계들은 서로와 일치하도록 (coincide) 타이밍이 맞춰진다. 따라서, (극좌의) 단계 (i) 에서, 4 개의 스테이션들에 위치된 기판들은 4 개의 웨이퍼들 각각의 표면 상에 흡착하게 허용된 실리콘-함유 프리커서를 동시에 공급받는다. 단일 또는 복수의 가스 전달 시스템들 (예컨대, 스테이션 당 하나) 이 채용되더라도, 공급 단계에서 공급되는 가스 반응 물질의 공통량은 4 개의 웨이퍼 모두에 동시에 공급될 만큼 충분할 필요가 있다는 점이 주목되어야 하며, 이에 따라 전달량은 통상적으로 단일 웨이퍼 상의 ALD 싸이클의 실행에 요구되는 량의 4 배이다. 따라서, 이 동작 시퀀스를 예시하는 것의 목적을 위해, (최상단 좌측에서 우측으로) 도 2에서 트레이스 (210) 로 나타내어지는- 실리콘-함유 프리커서의 총 유동은 유동의 100 유닛들 (유닛들은 물론 예시의 목적을 위해 임의적이다) 과 동일한 것으로 도 2에서 설명된다. (다시, 실리콘-함유 프리커서 트레이스 (210) 에 의해 개략적으로 도시된) 유동의 총 100 개의 유닛들은 다음으로 25 개의 유동 유닛들 각각의 4 개의 공급들로 나누어지며, 위의 단계 (i)에 대응하고, 도면에서 도시되는 4 개의 공급 트레이스들 (211, 212, 213 및 214) 에 의해 예시되는 것과 같은 공급들은 4 개의 프로세싱 스테이션들에서 동시에 4 개의 웨이퍼들에 제공된다. 맥락을 위해, POS의 다른 밸브들이 닫혀있는 동안, 이것이 도 1에서의 밸브 (164) 의 개구에 대응할 수 있다는 점이 주목되어야 한다.
그러나, 또한 도 2는 실리콘-함유 프리커서가 도 2의 동작 시퀀스를 채용하며 낭비되는지에 대한 정도를 예시한다. 특히, 도 2는 공급 단계 (i) 이 완료된 후, 프리커서 유동의 전체가 도면에서의 "전환" 트레이스 (215) 에 의해 예시되는 바와 같이 전환된다는 점을 도시하며, 따라서, 도면에 나타난 바와 같이, 이는 각 ALD 싸이클의 나머지 단계들 (ii), (iii), 및 (iv) 동안 프리커서 낭비의 100 개의 유동 유닛들에 대응한다. 다시 말하면, (도 2에서 "퍼지 가스들" 트레이스 (220) 로 도시된) 후-공급 퍼지 단계 (ii) 동안, (도 2에서 "산화제/RF" 트레이스 (230) 로 도시된) 단계 (iii) 에서 RF-생성된 산화성 플라즈마와 함께하는 활성화를 통해 흡착된 프리커서의 반응 동안, 그리고 (도 2에서 "퍼지 가스들" 트레이스 (220) 로 또한 도시된 후-RF 퍼지 동안, 실리콘-함유 프리커서의 낭비와 완전한 전환 (total diversion) 이 있다. 따라서, 도 2는 이하의 도면들의 맥락에서 설명된 대안적인 방법들과의 비교를 위한 기준 동작 시퀀스를 예시한다. 이러한 비교들을 만드는데 도움이 되기 위해, 도 2의 동시 공급 방법은 싸이클 시간을 추가하지 않는 것으로 얘기되나, 도면에 나타난 바와 같이 100 유닛들의 프리커서 유동 낭비를 발생시킨다.
맥락을 위해, "공급" 트레이스들과 "전환" 트레이스 사이의 변환은 도 1의 "진공으로 전환"으로 도시되는 POS의 밸브 (166)의 개방과 밸브 (164)의 폐쇄에 대응할 수도 있다는 점이 주목되어야 한다. 마찬가지로, 이 위치들에서의 밸브들 (164 및 166) 과 함께 (164 폐쇄 및 166 개방), 도 1에서의 "퍼지 가스들" 트레이스 (220) 로 지시된 퍼징 단계들 (ii) 및 (iv) 은 밸브 (165, 169) 의 개방과 나머지의 당연한 폐쇄에 의해 달성될 수도 있다 (그리고, 일부의 실시예들에서는 또한 샤워헤드의 근방에 추가적인 퍼지를 위한 밸브 (162A 및 162B) 의 개방에 의해 달성될 수 있다). 완전한 설명을 위해, 밸브 (165) 가 폐쇄되고 밸브 (164 및 166) 이 전환 위치들을 유지하는 동안, 도 2에서 "산화제/RF" 트레이스 (230) 로 도시된 산화성 플라즈마를 통한 반응 단계 (iii) 는 POS의 밸브 (169) 의 개방에 대응할 수도 있다. 도 1을 참조하는 밸브 동작의 앞서의 설명이 본 명세서에 개시되는 다른 타이밍도들에, 이어지는 도면들에 도시된 추가적인 동작 시퀀스들과 방법들에 따라 변경된 타이밍과 함께일지라도, 동일한 "Si 프리커서", "공급", "전환", "퍼지 가스들", "산화제/RF" 트레이스들에 대응하는 밸브의 설명된 개방과 폐쇄와 함께 적용된다는 점이 이해되어야 한다.
전술된 바와 같이, 다중-스테이션 기판 프로세싱 장치는 모든 스테이션에서의 기판이 동시간에 반응 물질들과 함께 필수적으로 공급될 필요가 없다는 점에 있어서 이점을 제공한다. 따라서, 반응 물질 유동의 공통 소스가 도 2의 기준 동작 시퀀스로 예시된 정도로 낭비로 전환되기보다는 상이한 프로세싱 스테이션들로 상이한 프로세싱 스테이션들로부터 다시 돌려지도록, 본 명세서에서는, 다양한 ALD 단계들 (i) 내지 (iv) 의 실행의 시간을 맞추고 시차를 두게 함으로써 (by timing and staggering), 실리콘 함유 프리커서 및/또는 다른 반응 물질들을 보존하도록 동작하는 복수의 기판들 상에서 복수의 프로세싱 스테이션들에서 동시에 ALD를 수행하는 방법이 개시된다. 이 다양한 방법들은 이제 도 3 내지 5b를 참조하여 나타내어 진다.
예를 들어, 공통 소스로부터의 실리콘-함유 프리커서가 초기에 프로세싱 스테이션 (1 및 2) 에서 웨이퍼들에 공급되고, 다음으로 스테이션들 (3 및 4) 에서 웨이퍼들에 공급되도록 다시 돌려지는 4 개의 ALD 싸이클들의 시퀀스의 병렬 실행에 대응하는 타이밍도 (300) 이 도 3에서 개략적으로 예시된다. 따라서, 도면에 도시된 바와 같이 개시된 ALD 싸이클들의 기판 공급 단계들 (i) 은 제1 및 제2 기판들이 제1 공급 페이즈 동안, 제3 및 제4 기판들이 실질적으로 공급받지 않는 동안 공급받으며, 제3 및 제4 기판들이 제2 공급 페이즈 (352), 제 및 제2 기판들이 실질적으로 공급받지 않는 동안 공급받도록 시차를 두는 방식으로, 프로세싱 스테이션들 (1, 2, 3, 및 4) 각각에서 제1, 제2, 제3, 제4 기판들의 공급 시간을 맞추는 것을 수반한다. 동시에 2 개의 웨이퍼만이 공급받을 수 있다는 사실 때문에, 실리콘-함유 프리커서의 유동 레이트는 4 개의 웨이퍼의 동시 공급을 수반하는 도 2의 방식에서 사용되는 유동 레이트의 대략 절반이다.
따라서, 도 3에 예시되고 나타난 바와 같이, "전환" 하에서 사용된 시간이 도 2에서와 거의 동일하다고 할지라도 ("전환" 트레이스들 (315 및 215) 를 비교하면), 전환되고 낭비된 프리커서 유동량은 100 대신 50 유동 유닛들에 이른다. 그러나, 또한 도 2에 도시되고 개시되는 바와 같이 공급 단계들의 제2 세트에 걸리는 시간만큼 ALD 싸이클 시간이 늘어난다. 그럼에도 불구하고, 결과는 안정적이고 지속적인 프리커서 유동이 낭비된 프리커서 양을 대략 50% 만큼 감소시키는 동안 시간-제어된 방식으로 다양한 스테이션들에 제공될 수 있다는 것이다. 물론, 본 명세서에서 설명된 예시들이 실리콘-함유 프리커서의 맥락상에 있으나, 낭비를 최소화하기 위해 반응 물질 유동의 제어와 전환을 위한 동일한 테크닉과 방법들이, 당업자에 의해 이해되는 바와 같이, 유사한 방식으로 다른 반응 물질 종들에 적용될 수 있다. 나아가, 이러한 시차를 두는 것이 또한 2 개의 프로세싱 스테이션 실시예를 위해 수행될 수 있으며, 제1 및 제2 기판들에 공급하는 것이 제1 및 제2 공급 페이즈들로 시차를 가질 수 있다는 점을 당업자들은 이해할 것이다.
도 4는 도 3의 방법에 대한 변형인 타이밍도 (400) 를 나타내며, 공급 단계 (i) 의 2 개의 공급 페이즈들에서 실리콘-함유 프리커서의 유동 패턴은 도 3에 서와 실질적으로 동일하나, 전환 페이즈 (도 3에서 355, 도 4 에서 455) 의 일부 부분은 누적 (accumulate) 페이즈 (456) 로 치환된다. 도 4에서의 "전환" 트레이스 (415) 및 "누적" 트레이스 (416) 으로 예시되는 바와 같이, 공급 페이즈들 후에, 실리콘-함유 프리커서의 유동은 초기에 프리커서-전환 유동 경로로 전환되나 (그리고 낭비되나), 일부의 포인트에서 프리커서-전환 흐름 경로는 막히며 (도 1에서의 폐쇄 밸브 (166) 에 의해서와 같이), 이는 프리커서로 하여금 반응 챔버의 프리커서 흐름 경로 업스트림과의 유체-연결에서 (그리고 도 1의 실시예에서, PVM(160) 의 업스트림에서) 프리커서 누적 볼륨에 누적되도록 한다.
프리커서가 반응 챔버의 볼륨 영역에서의 유동 경로 업스트림의 일부 볼륨 영역에서 (예를 들어, PVM의 유동 경로 업스트림에 따르는 임의의 다른 컴포넌트들/디바이스들에서 또는 그 자체의 유동 라인에서) 누적될 수도 있는 정도는 이하와 같은 몇몇의 인자들에 따른다: 예를 들어 :프리커서의 분해 온도; 응결, 온도, 및/또는 프리커서의 유동 경로에 따른 컴포넌트들의 압력 제한들; 프리커서의 증발을 위해 사용된 캐리어 가스(들) 의 실현 가능한 유동 레이트들; 등.
프리커서 및/또는 임의의 동반하는 캐리어 가스의 온도를 증가시키는 것이 응고 없이 가스 페이즈에 남아 있을 수도 있는 프리커서의 농도를 증가시킬 수 있다는 것을 당업자는 이해할 것이다. 따라서, 일부의 실시예들에서, 캐리어 가스의 유동 경로에서 또는 프리커서의 유동 경로에서 또는 모두에서 가열 엘리먼트가 있을 수도 있다. 예를 들어, 캐리어 가스가 프리커서와 혼합되는 경우, 그것이 프리커서가 응고되기 시작하는 농도를 올릴 수도 있는 상승된 온도를 가질 수 있도록, 캐리어 가스의 소스인 매니폴드 A (130) 의 바로 다운스트림으로 도 1에 도시된 사전-가열기 (124) 는 캐리어 가스의 온도를 증가시키는데 사용될 수도 있다. 이러한 방식에서, 프리커서의 더 많은 양이 도 4에 도시된 누적 페이즈에서 전환되기 보다는 누적될 수도 있다.
그러나, 가열이 누적에 도움을 줄 수도 있으나, 온도의 추가적인 증가가 실리콘-함유 프리커서의 분해를 야기할 수 있는 지점이 있다. 따라서, 일부의 실시예들에서, 최대 온도는 분해 온도에 의해 제한된다. 유사하게, 빌드 업이 허용되는 최대 압력은 통상적으로 응고가 전술된 분해 온도 아래의 온도에서 시작하는 압력 지점 미만으로 제한된다. 이러한 제한들은 따라서 프리커서와 그 캐리어 가스가 응고 관련된 문제들 없이 "확보된" (captured) 볼륨으로 유동시킬 수 있는 최대 시간을 제약한다. 그러나, 이러한 종류의 제약들이 저감되거나 형성되고 있는 ALD 필름의 화학 조성에 따라 존재하지 않을 수도 있다는 점이 이해되어야 한다. 예를 들어, ALD를 통한 질화물의 증착에 사용되는 프리커서들은 이러한 제약들에 종속되지 않거나 또는 적어도 더 낮은 정도로 종속될 수도 있다.
누적 페이즈로 전환 페이즈를 중단시킴으로써 - 프리커서가 전환되는 시간의 양을 최소화하는 것은 낭비되는 프리커서의 양을 감소시키나, 일부의 실시예들에서, 다음 ALD 싸이클을 시작하기 이전에 또 다른 전환 페이즈에서 프리커서의 전환을 복귀시키는 것이 바람직할 수도 있다는 점이 주목되며, 이는 -누적 동안 압력 빌드업에 의해 잠재적으로 야기되는- 비-안정 상태 유동 조건들이 다음 ALD 싸이클의 초기 "공급" 페이즈들을 방해하지 않는다. "누적"에서 "전환"으로 돌려놓는 것은 전환 트레이스 (415) 및 누적 트레이스 (416) 으로 도 4에서의 타이밍도의 가장 우측에서 예시된다. 도 3과 관련하여, 도 4에 도시되는 바와 같이 누적 페이즈를 채용하는 것의 최종 결과는 도면에서 나타나는 바와 같이 50 유동 유닛들 미만으로 프리커서 낭비를 감소시키는 것이다. 도 2의 방법과 관련하여, 싸이클 시간의 증가분은 도 3에서의 경우에서와 같이 하나의 추가적인 공급에 걸리는 시간이다.
프리커서 낭비를 감소시키는 또 다른 방법은 도 3 및 4에 도시되는 패턴에 대하여 대안적인 공급 유동 패턴을 제공하는 도 5a에서의 타이밍도에 의해 개략적으로 예시된다. 특히, 도 5a는 스테이션 1에서 공통 소스로부터 웨이퍼로 프리커서의 유동을 지향시키고, 스테이션 2로 유동을 돌리고, 다음으로 스테이션 3으로 유동을 돌리고, 마지막으로 스테이션 4로 유동을 돌림으로써, 2 개의 웨이퍼들이 실질적으로 동일 시각에 실질적으로 공급받지 않도록, 도 5a는 스테이션 1 내지 4에서 웨이퍼의 공급에 완전히 시차를 두게 하는 (fully stagger) 공급 타이밍의 시퀀스를 제공한다.
따라서, 도면에 도시된 바와 같이, 표시된 ALD 싸이클들의 기판 공급 단계들 (i) 은 시차를 두는 방식으로, 프로세싱 스테이션들 1, 2, 3, 및 4 각각에서 제1, 제2, 제3, 및 제 4 기판들의 공급 시간을 맞추는 것을 수반하며, 이는:
- 제1 기판이 제1 공급 페이즈 (551) 동안, 제2, 제3 및 제4 기판들이 실질적으로 공급받지 않는 동안 공급받도록;
- 제2 기판이 제2 공급 페이즈 (552) 동안, 제1, 제3 및 제4 기판들이 실질적으로 공급받지 않는 동안 공급받도록;
- 제3 기판이 제3 공급 페이즈 (553) 동안, 제1, 제2 및 제4 기판들이 실질적으로 공급받지 않는 동안 공급받도록;
- 제4 기판이 제4 공급 페이즈 (554) 동안, 제1, 제2 및 제3 기판들이 실질적으로 공급받지 않는 동안 공급받도록 한다.
프리커서는 제1, 제2, 제3 및 제4 공급 페이즈들 동안 공통 소스로부터 지속적으로 유동할 수도 있으며, 공급 페이즈들 사이의 전이들 (transitions) 은 공급 페이즈에 기초하여 적합한 프로세싱 스테이션으로 프리커서의 지속적인 유동을 돌림으로써 달성될 수도 있다. 4 개 중 하나의 웨이퍼만이 한 번에 공급받을 수 있다는 사실 때문에, 프리커서 유동 요건들은 (4 개의 웨이퍼들의 동시 공급을 수반했던) 도 2에 나타나는 기준 동작 시퀀스의 1/4로 감소되며, 또는 (2 개의 웨이퍼의 동시 공급을 수반했던) 도 3 및 4에 나타났던 시퀀스의 1/2로 감소된다. 도 5a에 지시되는 바와 같이, 다음으로, 이것은 전환 페이즈 (555) 동안 낭비되는 프리커서의 양을 25 유동 유닛들로 감소시킨다. 추가적으로, 전환 페이즈 (555) 의 일부가 누적 페이즈로 대체되면, 낭비되는 프리커서의 양은 도 5a에 나타나고 예시되는 것과 같이 25 유동 유닛들 미만으로 감소될 수도 있다.
예를 들어, ALD 싸이클의 나머지 단계들 (ii), (iii) 및 (iv) 이 이러한 단계들이 도 1 내지 4에서 실행된 방식과 유사하게 시간 맞춰진다면- 모든 4 개의 스테이션들에서 공급에 시차를 주는 것은 싸이클 당 3 개의 공급 기간들의 싸이클 시간 증가를 발생시킬 수 있다. 프리커서의 비용이 절대적 웨이퍼 처리량보다 더 주요하다면, 이는 바람직한 트레이드 오프가 될 수도 있다. 그러나, 도 5a에 도시된 바와 같이, ALD 싸이클 (ii), (iii) 및 (iv) 의 나머지 단계들이 그리고 다음으로, 다수의 순차적인 ALD 싸이클들 동안 4-방향으로 시차를 갖는다면, 평균적으로, 아주 작은 추가적인 싸이클 시간이 있을 것이다 (본질적으로 첫번째와 마지막 ALD 싸이클이 수행되는 동안만 추가적인 싸이클 시간의 증가). 구체적으로, 도 5a에 도시된 바와 같이 ALD 싸이클들에 시차를 주고, 싸이클 시간에 있어서 전술된 이익을 달성하기 위해서, 단계들 (ii), (iii), 및 (iv) 은 (예를 들어 도 3 및 4에서의 타이밍에 상대적으로) 프로세싱 스테이션 각각에서 시간이 앞당겨지며, 이는 프로세싱 스테이션 각각에서 단계들 (ii), (iii), 및 (iv) 의 시퀀스가 다른 프로세싱 스테이션들에서도 종료되기 위해 공급 단계들 (i) 을 기다리기보다는- 그 프로세싱 스테이션에서 공급 단계 (i) 의 종료 직후 개시되게 한다.
따라서, 예를 들어, 도 5a에 도시된 바와 같이, 스테이션 1 및 2에서 보여지는 단계들 (i) 내지 (iv) 에 시차를 두는 것에 집중하면, 스테이션 2에서의 제2 공급 페이즈 (552) 동안, 퍼지 (561) 는 스테이션 1에서 진행 중일 수도 있으며, 따라서, 제2 기판이 제2 프로세싱 스테이션에서 공급 단계 (i)에서 공급받는 동안, 흡착되지 않은 프리커서 (및/또는 반응 부산물) 는 제1 프로세싱 스테이션에서 ALD 단계 (ii) 에서 제 1 프로세싱 스테이션을 둘러싸는 볼륨으로부터 제거된다. 유사하게, 스테이션 2에서의 퍼지 (562) 이전에 시작하는 스테이션 1에서의 퍼지 (561) 때문에, 스테이션 1에서의 퍼지 (561) 은 먼저 끝날 것이며, 스테이션 1에서의 산화/RF (571) 는 퍼지 (562) 가 아직 스테이션 2에서 계속 중인 동안 시작될 수도 있다. 따라서, 흡착되지 않은 프리커서 (및/또는 반응 부산물) 가 (예컨대, 후-공급 퍼지 (562)) ALD 단계 (ii) 에서 제2 프로세싱 스테이션을 둘러싸는 볼륨으로부터 제거되는 동안, 도면에 도시된 바와 같이 제1 기판 상에 흡착된 프리커서는 제1 프로세싱 스테이션에서 (예컨대, 산화/RF (571)) ALD 단계 (iii) 에서 반응될 수 있다. 도면에서 도시된 바와 같이 시퀀스를 지속시키기 위해, 제2 기판 상에 흡착된 프리커서가 제2 프로세싱 스테이션에서 (예컨대, 산화/RF (572) 에서) ALD 단계 (iii) 에서 반응되는 동안, 흡착된 프리커서는 ALD 단계 (iv) 에서 (예컨대, 후-RF 퍼지 (581) 에서) 제1 프로세싱 스테이션을 둘러싸는 볼륨으로부터 제거될 수도 있다.
물론, ALD 단계들 (i) 내지 (iv) 의 전술된 시차를 두는 것이 2, 또는 3, 또는 4, 또는 5, 또는 6, 또는 7, 또는 8 등의 프로세싱 스테이션들을 갖는 (또는 예컨대 2 내지 4 프로세싱 스테이션, 또는 2 내지 6 프로세싱 스테이션, 또는 2 내지 8 프로세싱 스테이션, 또는 4 내지 6 프로세싱 스테이션, 또는 4 내지 8 프로세싱 스테이션, 또는 6 내지 8 프로세싱 스테이션들과 같은 범위 내의 프로세싱 스테이션들의 수를 갖는) 다중-스테이션 ALD 방법과 장치의 맥락에서 구현될 수 있다는 점을 당업자는 전술된 개시에 기초하여 이해할 것이다.
그러나, 본 실시예와 관련하여 (또한 아래 도 5b에 예시된 실시예와 관련하여) 가스 형태의 프리커서와 산화제의 혼합을 피하는 것이 중요할 수도 있다는 점이 또한 주목되어야 하며, 이는 연관된 특정 화학조성들에 따라, 이러한 혼합이 반응 챔버 및 잠재적으로 챔버로 향하는 샤워헤드 및 피드 라인들 (feed lines) 의 오염을 야기하는 산화제 사이의 가스-상 CVD-유사 반응을 유도할 수도 있기 때문이다. (아래 설명된 4 스테이션 챔버와 같은) 다중-스테이션 반응 챔버 내에서, (불활성) 가스의 장막들이 스테이션 각각에서 발생하는 프로세싱을 분리하는데 사용될 수도 있으며, 이에 따라 반응 챔버 내에서 이러한 원치 않는 혼합을 방지한다. 반응 챔버로 산화제와 프리커서를 공급하는 피드 라인들과 밸브의 신중한 사용은 또한 반응 챔버의 업스트림 혼합을 방지하는데 사용될 수도 있다. 그러나, 특정 화학 조성에 있어서, 이러한 혼합이 문제를 야기하지 않을 수도 있다는 점이 또한 이해될 것이다.
또 다른 방법은 도 3 및 4의 유동 패턴과 도 5a의 유동 패턴 사이의 일종의 혼합물인, 도 5b에서의 타이밍도 (502) 로 예시된다. 도 5b는 공급이 2 개의 페이즈들로 시차를 가지고 (도 2의 기준에 대하여 50%의 유동 레이트 감소를 야기하는-, 그러나, 제1 쌍 (예컨대, 스테이지 1 및 2) 에 대한 공급이 종료된 직후 제2 쌍의 프로세싱 스테이션 (예컨대, 스테이지 3 및 4) 의 공급을 개시하는 대신, 유동이 특정 기간 동안 전환 및/또는 누적되는, 유동 패턴을 나타낸다. 이는, 제2 쌍의 프로세싱 스테이션 (예컨대, 스테이지 3 및 4) 의 공급의 타이밍이 후-공급 및 후-RF 퍼지 단계들 (ALD 단계들 (ii) 및 (iv)) 에 동기화하도록 선택될 수도 있게 수행된다. 도 5b에 도시된 바와 같이, 타이밍이 적합하게 선택되면, 스테이션 1 및 2 (581 및 582 각각) 에서의 후-RF 퍼지는 스테이션 3 및 4 (563 및 564, 각각) 에서의 후-공급 퍼지와 동시에 일어나게 될 수도 있으며, 유사하게, 다음 싸이클상에서, 스테이지 1 및 2 (571 및 572 각각) 에서의 후-공급 퍼지는 스테이션 3 및 4 (583 및 584, 각각) 에서의 후-RF 퍼지와 함께 일어나게 될 수도 있다.
도 5b에서 나타나는 시차를 둔 유동 패턴의 결과로, 흡착되지 않은 프리커서 (및/또는 반응 부산물) 가 ALD 단계 (ii) 에서 다른 프로세싱 스테이션(들)을 둘러싸는 볼륨 (들) 로부터 제거되는 동안, 일부의 실시예들에서, 탈착된 프리커서는 ALD 단계 (iv) 에서 특정 프로세싱 스테이션 (들) 을 둘러싸는 볼륨 (들) 으로부터 제거된다는 점, 반대로, 흡착되지 않은 프리커서 (및/또는 반응 부산물) 가 ALD 단계 (ii) 에서 전자의 프로세싱 스테이션 (들) 을 둘러싸는 볼륨 (들) 로부터 제거되는 동안, 탈착된 프리커서는 ALD 단계 (iv) 에서 이 후자의 프로세싱 스테이션 (들) 을 둘러싸는 볼륨 (들) 로부터 제거된다는 점이 명백하게 되었다. 이는 퍼지 기능성, 기간, 및 효율의 측면에서 동작 상의 이점들을 제공할 수도 있다. 예를 들어, (도 6 내지 9와 관련하여 이하에서 설명되고 예시되는 것과 같은) 일부의 실시예들에서 4 개의 프로세싱 스테이션들은 동일한 반응 챔버에서 존재할 수도 있으며, 동시간의 퍼지는 반응 물질들과 부산물들이 없도록 챔버 전체에 대해 청소되게 할 수도 있으며, 이는 임의의 일 회에 프로세싱 챔버내에서 선택된 스테이션들을 퍼지하는 것에 비하여 퍼지의 효율을 향상시킨다. 물론, 비록 도 5b가 4 개의 프로세싱 스테이션들의 맥락에서 이러한 시차를 두는 유동 패턴을 예시하지만, 제2 프로세싱 스테이션에서 ALD 단계 (ii) 에서의 흡착되지 않은 프리커서 (및/또는 반응 부산물) 제거와 제1 프로세싱 스테이션에서의 ALD 단계 (iv) 에서의 탈착된 프리커서 제거를 매칭시킴으로써, 단지 2개의 프로세싱 스테이션의 맥락에서 구현될 수도 있다는 점이 이해되어야 한다. 이러한 시차를 두는 설계는 또한, 4 개 이상의 프로세싱 스테이션들의 맥락에서 구현될 수도 있다.
전술된 ALD 방법들 및 타이밍 시퀀스들은 전술된 바와 같이 공급 페이즈들 동안 더 낮은 유동 레이트들 때문에 이점을 가질 수도 있다. 일부의 실시예들에서, 이는,
1. 요구되는 프리커서 양이 더 낮아 액체/기체 프리커서 전달 시스템들의 향상된 퍼포먼스
2. 다중-스테이션/배치 반응기 (batch reactor)/프로세싱 챔버 상에서 상이한 도전적인 액체 전달 방법들 및 화학조성들의 사용: 예컨대, 매우 낮은 유동 레이트로 고체 프리커서; 예컨대 직접 액체 공급 대신 기체로 전달될 수 있는 액체
을 제공한다.
위에 기초하면, 더 낮은 프리커서 낭비가 또한 프리커서 유동을 시작하고 멈춤으로써 달성될 수도 있으나, 위에 설명된 이유들 때문에, 기체 및 액체 프리커서들에 대한 현대적 유동 제어 디바이스들이 통상적으로 이러한 동작 모드에서 잘 동작하지 않으며, 발생된 프리커서 유동들은 흔히 심각하게 바람직하지 않은 일시적 유동 특성들을 나타낸다는 점이 이해되어야 한다. 반면에, 지속적인 프리커서 유동을 (본 명세서에서 설명된) 다양한 프로세싱 스테이션들로 돌리는 것은 원치 않는 일시적인 유동 특성들을 크게 감소시킬 수도 있으며, 이는 다양한 프로세싱 스테이션들로의 프리커서 흐름이 예리하게 제어되는 동안, 유동 제어 디바이스들의 기준 프레임 내에서 안정적이고 일정하기 때문이다.
장치들
본 명세서에서 설명된 방법들은 임의의 적합한 다중-스테이션 기판/웨이퍼 프로세싱 장치와 관련하여 수행될 수도 있다. 적합한 장치는 프로세스 동작들을 달성하기 위한 하드웨어 및 본 명세서에 따르는 프로세스 동작들을 제어하기 위한 기계-판독 가능한 명령어들을 갖는 시스템 제어기를 포함할 수도 있다.
따라서, 일부의 실시예들에서, 복수의 반도체 기판들 상에서 유전체 재료의 층들을 증착하는데 적합한 장치는 하나 이상의 반응 챔버들 내에 포함된 기판 홀더를 각각 갖는 복수의 프로세싱 스테이션들, (도 1에서의 밸브 (166) 에 의해 동작되는 것과 같이) 프리커서-전환 유동 경로로 그리고 프로세싱 스테이션들 각각으로 유전체 필름 프리커서의 유동을 돌리기 위한 밸브 어셈블리를 포함하는 (도 1에 나타난 것과 같은) 가스 전달 시스템, 및 일부의 실시예에서는 하나 이상의 반응 챔버들 내에서 프로세싱 스테이션들을 둘러싸는 볼륨들로부터 프리커서를 제거하기 위한 밸브-동작 진공 소스도 포함할 수도 있다. 이러한 장치와 연관된 제어기는 따라서 밸브 어셈블리와 진공 소스를 동작하기 위한 기계-판독 가능한 명령어들을 포함할 수도 있다. 일부의 실시예들에서, 이 명령어들은 전술된 ALD 동작들 (i) 내지 (iv) 를 채용하는 동작들을 위한 지시들, 기판 프로세싱 장치의 복수의 프로세싱 스테이션들에서 복수의 기판들 상에 유전체 재료의 복수의 층들을 형성하도록 ALD 동작들 (i) 내지 (iv) 를 복수 회 반복하기 위한 명령어들을 포함할 수도 있다.
시스템 제어기는 통상적으로 하나 이상의 메모리 디바이스들 및 장치가 본 명세서에 따른 방법을 수행할 수 있도록 프로세스 동작들을 제어하기 위한 명령어들을 실행하도록 구성된 하나 이상의 프로세서들을 포함할 것이다. 예를 들어, 일부의 실시예들에서, 시스템 제어기는 기판 프로세싱 장치 내의 프로세스 조건들을 조정하기 위한 다양한 가스 전달 라인들, 밸브들, 밸브 어셈블리들, 매니폴드들, 온도 제어기들, 플라즈마 제어기들, RF 전력 소스들/생성기들, 압력 제어기들, 밸브-동작된 진공 소스들, 등을 동작시킬 수도 있다. 일부의 실시예들에서, 본-개시에 따른 프로세스 동작들을 제어하기 위한 기계-판독 가능 명령어들이 저장된 기계-판독 가능 매체는 시스템 제어기에 커플링될 수도 있으며, 또는 시스템 제어기를 포함할 수도 있다.
예를 들어, 도 6은 프로세싱 스테이션 (3600) 의 실시예를 개략적으로 예시한다. 간결성을 위해, 프로세싱 스테이션 (3600) 은 저압 환경을 유지하기 위한 반응 챔버 (3672) 를 갖는 독립형 프로세싱 스테이션으로 도시된다. 그러나, 복수의 프로세싱 스테이션들 (3600) 이 - 예컨대 공통 반응 챔버 내에 - 공통 저압 프로세스 툴 환경에 포함될 수도 있다는 점이 이해될 것이다. 프로세싱 스테이션 (3600) 은 프로세싱 스테이션 (3600) 으로의 전달을 위해, 불활성 퍼지 가스들과 같은 프로세스 가스들, 실리콘-함유 유전체 필름 프리커서들과 같은 프리커서들, 다른 반응 물질들, 및 처리 반응 물질들을 제공하기 위한 프로세스 가스 전달 라인 (3674) 을 포함한다. 도 6에 도시된 실시예에서, 샤워헤드 (3678) 는 프로세싱 스테이션 (3600) 내에서 프로세스 가스들을 분배하도록 포함된다. 기판/웨이퍼 (3686) 는 샤워 헤드 (3678) 아래에 위치되며, 페데스탈 (3682) 에 의해 지지되는 기판 홀더 (3680) 상에 놓이게 도시된다. 일부의 실시예들에서, 페데스탈 (3682) 은 수직 축을 중심으로 회전하도록 구성될 수도 있다. 추가적으로 또는 대안적으로, 페데스탈 (3682) 은 수평적으로 및/또는 수직적으로 전이 (translate) 되도록 구성될 수도 있다.
일부의 실시예들에서, 샤워헤드 (3678) 는 단일-플레넘 (plenum), 듀얼-플레넘, 또는 다중-플레넘 샤워헤드일 수도 있다. 예를 들어, 도 7은 듀얼-플레넘 샤워헤드 (3700) 의 실시예를 개략적으로 도시한다. 제1 세트의 홀들 (3702) 은 제1 프로세스 가스 전달 라인 (3712) 로부터 가스를 수신하고, 제2 세트의 홀들 (3704) 은 제2 프로세스 가스 전달 라인 (3714) 로부터 가스를 수신한다. 프로세스 가스들의 이러한 물리적 격리는 샤워헤드 (3700) 의 업스트림을 연통시키는 프로세스 가스 전달에서 양립할 수 없는 프로세스 가스들 사이의 반응으로부터 작은 파티클 생성을 감소시키는 시도를 제공할 수도 있다. 임의의 적합한 분리 설계가 채용될 수도 있다. 예를 들어, 하나의 시나리오에서, 홀들 (3702) 은 홀들 (3704) 이 실리콘 질화물 필름 증착 프로세스에 전용으로 사용될 수도 있는 동안, 이산화 실리콘 필름 증착 프로세스에 전용으로 사용될 수도 있다. 다른 시나리오에서, 홀들 (3704) 은 홀들 (3704) 이 환원 반응 물질에 전용으로 사용되는 동안, 산화 반응 물질들에 전용으로 사용될 수도 있다. 도 6에 도시된 실시예가 듀얼-플레넘 샤워헤드이면, 일부의 실시예들에서 샤워헤드는 3 개 이상의 세트의 홀들을 갖는 다중-플레넘 샤워헤드일 수도 있다는 점이 이해되어야 한다.
샤워헤드 (3678) 및 홀더 (3680) 는 반응 챔버 (3672) 내에서 (산화성 플라즈마와 같은 (oxidative plasma)) 플라즈마 (3692) 에 전력을 인가하기 위한 매칭 네트워크 (3690) 및 RF 전력 소스 (3688) 와 전기적으로 연결된다. 플라즈마 (3692) 는 샤워헤드 (3678) 와 홀더 (3680) 에 인접하게 위치한 플라즈마 쉬스 (3694, plasma sheath) 에 의해 수용된다. 도 6이 용량성 커플링된 플라즈마를 도시하나, 플라즈마 (3692) 는 임의의 적합한 플라즈마 소스에 의해 생성될 수도 있다. 하나의 비-제한적인 실시예에서, 플라즈마 (3692) 는 평행 플레이트 플라즈마 소스를 포함할 수도 있다 나아가, RF 전력 소스 (3688) 와 매칭 네트워크 (3690) 이 하나 이상의 기판 프로세싱 스테이션들을 포함하는 반응 챔버 내에서 플라즈마를 생성하는데 사용될 수도 있으나, 다른 실시예들에서, 산화성 플라즈마는 원격으로 (remotely) 생성되어 다음에 반응 챔버로 도입될 수도 있다. 예를 들어, 도 1은 밸브 (116) 에 의해 조절되는 떨어진 플라즈마 소스 (195) 를 개략적으로 예시한다.
도 6에 도시된 실시예에서, RF 전력 소스 및 생성기 (3688) 는 임의의 적합한 주파수의 RF 전력을 제공할 수도 있다. 일부의 실시예들에서, RF 전력 소스 및 생성기 (3688) 는 고-주파수 RF 전력 및 저-주파수 RF 전력을 독립적으로 생성하고 제어하도록 구성될 수도 있다. RF 전력 소스 및 생성기에 의해 생산되는 저-주파수 RF 전력의 예시는 제한되지 않으나 200 kHz 내지 2000 kHz 범위의 주파수들을 포함할 수도 있다. 예시적인 고-주파수 RF 전력은 제한되지 않으나 13.56 MHz 내지 80 MHz 범위의 주파수들을 포함할 수도 있다. 유사하게, RF 전력 소스 및 생성기 (3688) 및 매칭 네트워크 (3690) 는 플라즈마 (3692) 를 형성하도록 임의의 적합한 전력으로 동작될 수도 있다. 임의의 적합한 전력들의 예시들은 제한되지는 않으나 4 개의 15-인치 샤워헤드들을 포함하는 4 개의 프로세싱 스테이션 다중-기판 프로세싱 툴에 있어서, 저-주파수 플라즈마에 대해 0 W 내지 2500 W 범위의 전력들 및 고-주파수 플라즈마에 대해 250 W 내지 5000 W 범위의 전력들을 포함할 수도 있다. RF 전력 소스 및 생성기 (3688) 는 임의의 적합한 듀티 싸이클로 동작될 수도 있다. 임의의 적합한 듀티 싸이클의 예시들은 제한되지 않으나 5% 내지 90% 범위의 듀티 싸이클들을 포함한다.
도 6으로 다시 돌아가면, 일부의 실시예들에서, 홀더 (3680) 는 히터 (3684) 를 통해 온도 제어될 수도 있다. 또한, 일부의 실시예들에서, 프로세싱 스테이션 (360) 에 대한 압력 제어는, 프로세싱 스테이션 배기 라인 (3698) 에 유체적으로 커플링된 진공 펌프 (미도시) 에 의해 제공되는 진공을 도 6에 도시되는 바와 같이 조절 (throttle) 하는 나비형 밸브 (3696) 와 같은 밸브-동작된 진공 소스에 의해 제공될 수도 있다. 그러나, 일부의 실시예들에서, 프로세싱 스테이션 (3600) 의 압력 제어는 또한 프로세싱 스테이션 (3600) 으로 도입된 하나 이상의 가스들의 유동 레이트를 다양하게 하여 조정될 수도 있다. 하나 이상의 프로세스 파라미터들의 제어는 국부적으로 제공될 수도 있으며 (예컨대, RF 전력은 RF 전력 공급부 (3688) 와 통신하는 플라즈마 제어기에 의해 제어될 수도 있으며, 프로세싱 스테이션 압력은 프로세스 가스 전달 라인 (3674) 와 커플링된 유동 제어기들 또는 가스 계측 밸브들과 또는 나비형 밸브 (3696) 과 통신하는 밸브 제어기에 의해 제어될 수도 있다, 등), 또는 하나 이상의 파라미터들의 제어는 본 명세서의 범위를 벗어나지 않으면서 프로세싱 스테이션 (3600) 과 통신하는 (위에서 설명되고 아래에서도 설명되는) 시스템 제어기에 의해 제공되는 부분적인 또는 전체 제어 하에서 제공될 수도 있다.
다중-스테이션 기판 프로세싱 장치의 일부의 실시예들에서, 다양한 프로세스 입력들의 제어 및/또는 공급 (예컨대, 프로세스 가스들, 플라즈마 전력, 플라즈마 생성을 위한 가스들, 반응 물질들, 필름 프리커서들, 가열기 전력, 등) 은 공유된 소스들로부터 다중-스테이션 장치 내의 복수의 프로세싱 스테이션들로 분배될 수도 있다. 예를 들어, 일부의 실시예들에서, RF 전력의 공유된 소스는 2 개 이상의 프로세싱 스테이션들 내에서 플라즈마 생성을 위한 RF 전력을 공급할 수도 있다. 다른 실시예에서, 공유된 가스 분배 매니폴드는 2 개의 프로세싱 스테이션들로 프로세스 가스들을 공급할 수도 있다. 다중-스테이션 프로세싱 툴들의 일부의 비-제한적이며 예시적인 실시예들의 일부가 이하에서 설명된다.
도 8은 공통 저압 반응 챔버에서 복수의 프로세싱 스테이션들 (3842) 을 포함하는 예시적인 기판 프로세싱 장치 (3840) 를 개략적으로 도시한다. 저압 환경에 스테이션 각각을 유지시킴으로써, 필름 증착 프로세스들 사이에서 진공 중단 (break) 에 의해 야기되는 결함들이 회피될 수도 있다. 도 8에 도시된 예시에서, 프로세싱 스테이션 (3842) 각각은 TEOS (tetraethyl orthosilicate, Si(OC2H5)4) 계 실리콘 이산화물 필름 및 실란 (SiH4) 계 실리콘 질화물 필름의 복수의 층들을 포함하는 필름 스택 (stack) 을 증착하도록 구성된다. 이러한 특정한 실시예들에서, 이러한 필름 스택은 하나 위에 다른 하나가 증착된, 실리콘 이산화물 필름 및 실리콘 질화물 필름이 교번하는 층들을 포함할 수도 있다. 도 8에 도시된 실시예에서, 프로세싱 스테이션 (3842) 각각에 대한 프로세스 가스들은 전달 이전에 프로세스 가스들을 블렌딩하고 및/또는 컨디셔닝하기 위한 공통의 혼합 용기 (3844) 에 의해 공급된다. 일부의 실시예들에서, 혼합 용기 (3844) 는 온도 제어될 수도 있다. 플라즈마 지원을 위한 가스들뿐만 아니라, 캐리어 가스에서의 가능한 다른 반응 물질들 및 (실리콘-함유 필름 프리커서와 같은) 필름 프리커서들을 포함하는 프로세스 가스들은 복수의 프로세스 가스 전달 라인들, 밸브들 및 매니폴드들로부터 공급될 수도 있다. 예를 들어, 도 8은 실란 전달 라인 (3845) 와 유체로 연통하는 (캐리어 가스로의) 실란과 질소를 포함하는 매니폴드 A; 암모니아 전달 라인 (3847) 과 유체로 연통하는 (다시, 캐리어 가스의 역할을 하는) 암모니아 및 질소를 포함하는 매니폴드 B; 및 TEOS 전달 라인 (3848) 과 유체로 연통하는 산소 가스 (O2), 및/또는 질소 산화물 (N2O), 헬륨 및/또는 아르곤 (뒤의 2 가스들은 캐리어 가스들) 을 포함하는 매니폴드 C를 도시한다. 다른 캐리어 가스 구성들도 구현 가능하다는 점이 주목되며, 예를 들어, 하나의 비-제한적인 예시에서, 헬륨 및/또는 아르곤은 매니폴드 A, B 및 C 각각에 제공된다. 도 8에 도시된 예시에서, TEOS는 혼합 지점 (3846) 에서 매니폴드 C와 유체로 연통하는 TEOS 전달 라인 (3848) 로 도입된다. 일부의 실시예들에서, 액체 TEOS는 혼합 지점 (3846) 의 업스트림에서 선택적인 증발기 (3849) 에 의해 증발될 수도 있다. (전술된) 다른 적합한 구성들 및 화학 조성들이 본 명세서의 범위 내에 포함되며, 다양한 ALD-기판 필름 증착 화학 조성들 및 다중-기판 장치들 및 구성들이 본 명세서에 개시되는 프로세스 타이밍 시퀀스들로부터 이득을 얻을 수 있다는 점이 이해되어야 한다.
각 필름 타입의 증착은 TEOS-계 실리콘 이산화물 필름들 및 실란-계 실리콘 질화물 필름들의 인-시츄 (in-situ) 증착에 대해 적합하게 변형된 하나 이상의 전술된 페이즈들을 포함할 수도 있는 프로세스에 의해 발생할 수도 있다. 프로세싱 스테이션 (3842) 각각이 각 필름 타입을 제공하도록 구성되기 때문에, 추가적인 퍼지 및/또는 배기 (evacuation) 단계들이 양립할 수 없는 프로세스 가스들을 분리하도록 하나 또는 둘 모두의 프로세스들 내에 포함될 수도 있다. 예를 들어, 하나의 시나리오에서, 배관 표면들에 흡착된 잔류 산소는 미세한 실리콘 이산화물 미립자들을 형성하도록 다음의 도입된 실란과 반응할 수도 있다. 다른 시나리오에서, 잔류 TEOS는 실리콘 산화질화물 미립자들을 형성하도록 다음으로 도입된 암모니아와 반응할 수도 있다. 이러한 미립자들은 파티클 결함들과 같이 기판 표면 상에 분포되거나, 가스 유동 이벤트 동안 혼입될 수도 있다. 전술한 바와 같이, 이러한 파티클들의 생성을 해결하는 하나의 방식은 증착 페이즈들 사이의 전이 동안 프로세스 가스들에 의해 고유되는 표면들 및/또는 공간들로부터 양립할 수 없는 프로세스 가스들을 변위시키기 위해 하나 이상의 퍼지 및/또는 배기 싸이클들을 사용하는 것이다. 일부의 실시예들에서, 이러한 선 반응 물질/프리커서 제거 단계는 -기준 펌프-투-베이스 (PTB; pump to base) 프로세스와 관련하여 구체적으로 특정하게 전술된 바와 같은 베이스 압력으로 프로세싱 챔버를 펌핑함으로써 가속될 수 있다. 그러나, 다른 실시예들에서, 구체적으로 전술된 프로세스와 같은 지속적 플라즈마 온 (CPO; continous plasma on) 프로세스가 PTB-타입 프로세스를 대체 프로세스로 채용될 수도 있다.
일부의 실시예에서, 분리된 혼합 용기들이 채용될 수도 있으며, 이는 양립할 수 없는 반응 물질들 및 프리커서들을 분리시키고, PTB, 퍼지 및 CPO 시간을 잠재적으로 감소시킨다. 예를 들어, 도 9는 도 8에서 개략적으로 예시되는 복수의 프로세싱 스테이션들과 유사한 공통의 저압 반응 챔버 내의 복수의 프로세싱 스테이션들 (3992) 을 포함하는 예시적인 기판 프로세싱 장치 (399) 를 개략적으로 도시한다.
이 실시예에서, 프로세싱 스테이션들 (3992) 은 TEOS-계 실리콘 이산화물 필름들 및 실란-계 실리콘 질화물 필름들의 복수의 층들을 포함하는 필름 스택을 증착하도록 구성된다. 이러한 특정한 실시예들에서, 이러한 필름 스택은 하나 위에 다른 하나가 증착된, 실리콘 이산화물 필름 및 실리콘 질화물 필름이 교번하는 층들을 포함할 수도 있다. 프로세스 가스들은 임의의 적합한 프로세스 가스를 포함할 수도 있는 각각의 복수의 프로세스 가스 매니폴드들로부터 프로세싱 스테이션들 (3992) 로 공급될 수도 있다. 예를 들어, 도 9는 프로세싱 스테이션들 (3992) 과 유체로 연통하는 (캐리어 가스로의) 실란과 질소를 포함하는 매니폴드 A; 프로세싱 스테이션들 (3992) 과 유체로 연통하는 (캐리어 가스로의) 암모니아 및 질소를 포함하는 매니폴드 B; 및 프로세싱 스테이션들 (3992) 과 유체로 연통하는 산소 가스 (O2), 및/또는 질소 산화물 (N2O), 헬륨 및 아르곤을 포함하는 매니폴드 C를 도시한다. 그러나, 다른 적합한 구성들이 본 명세서의 범위 내에 포함된다는 점이 이해될 것이다. 예를 들어, 하나의 비-제한적인 예시에서, 헬륨 및/또는 아르곤은 매니폴드 A, B 및 C 각각에 제공된다. 도 9 에서 TEOS 및 산소로 도시된, 산화물 필름 반응 물질들은 산화물 시스템 혼합 용기 (3994) 를 통해 프로세싱 스테이션 (3992) 각각으로 전달된다. 도 9에 도시된 실시예에서, 액체 TEOS는 선택적인 증발기 (3999) 에 의해 증발될 수도 있으며, 혼합 지점 (3991) 에서 매니폴드 C로부터 공급된 산호 가스 (O2) 및/또는 질소 산화물 (N2O) 과 혼합될 수도 있다. 도 9에서 실란과 암모니아로 도시된 질화물 필름 반응 물질들은 질화물 시스템 혼합기들 (3995) 를 통해 프로세싱 스테이션 (3992) 각각으로 매니폴드 A 및 B 각각을 통해 공급된다. 일부의 실시예들에서, 질화물 시스템 혼합기들 (3995) 은 동적인 또는 정적인 혼합 엘리먼트들을 포함할 수도 있다. 하나의 비-제한적인 실시예에서, 질화물 시스템 혼합기들 (3995) 은 정적이고, 나선형-형상의 배플들 (baffles) 을 포함하는 정적인 가스 혼합기일 수도 있다. 추가적으로 또는 대안적으로, 일부의 실시예들에서, 질화물 시스템 혼합기들 (3995) 은 하나 이상의 가열된 혼합 용기들을 포함할 수도 있다. 도 9가 프로세싱 툴들 (3990) 이 질화물 필름 반응 물질들을 혼합하기 위해 2 개의 질화물 시스템 혼합기들 (3995) 를 포함한다는 점을 도시하나, 임의의 적합한 수의 질화물 시스템 혼합기들 (3995) 이 본 명세서의 범위 내에서 채용될 수도 있다는 점이 이해되어야 한다. 일부의 예시들에서, 3 개 이상의 질화물 시스템 혼합기들이 사용될 수도 있다; 일부의 다른 예시들에서, 단일의 질화물 시스템 혼합기 (3995) 가 사용될 수도 있으며, 또는 질화물 시스템 혼합기 (3995) 가 생략될 수도 있다. 일부의 실시예들에서, 하나 이상의 질화물 시스템 혼합기들 (3995) 은 혼합 용기를 포함할 수도 있다. 예를 들어, 일 시나리오에서, 배플들을 가지지 않는 하나 이상의 질화물 시스템 용기들은 하나 이상의 질화물 시스템 혼합기들 (3995) 로 대체될 수도 있다. 일부의 실시예들에서, 아르곤, 헬륨, 및 질소와 같은 불활성 가스들은 하나 이상의 프로세싱 스테이션들로 공급될 수도 있으며, 이는 퍼징, 프로세스 가스 희석, 및 압력 제어 능력을 제공할 뿐만 아니라 프로세싱 챔버 내에서 플라즈마 생성을 위한 매체의 역할을 한다. 일부의 실시예들에서, 아르곤은 아르곤-계 플라즈마를 생성용 프로세싱 챔버로 불활성 혼합기 (3996) 를 통해 공급될 수도 있다. 이러한 특정 실시예들에서, 아르곤-계 플라즈마는 이전의 필름 증착 단계로부터 남은 필름 프리커서와 같은, 프로세싱 챔버로부터 의 오염 종들의 제거를 가속시키는데 사용될 수도 있다. 도 9에 도시된 예시에서, 아르곤은 2 개의 불활성 혼합기들 (3996) 을 통해 프로세싱 스테이션 (3992) 각각으로 제공된다. 그러나, 일부의 실시예들에서, 불활성 혼합기들 (3996) 의 임의의 적합한 수가 채용될 수도 있으며, 또는 대안적으로, 불활성 혼합기들 (3996) 이 생략될 수도 있다는 점이 이해될 것이다. 일부의 실시예들에서, 도 9에서 예시된 바와 같이, 프로세싱 스테이션 (3992) 각각에 대한 복수의 밸브들 (3998) 은 질화물 필름 가스 전달 배관으로부터 그리고 프로세싱 스테이션 피드 (feed) (3997) 로부터 산화물 필름 가스 전달 배관의 업스트림 부분들을 서로로부터 고립시킨다. 이는 양립할 수 없는 반응 물질들 간의 반응들을 방지할 수도 있다. 일부의 실시예들에서 밸브들 (3998) 의 배열은 프로세싱 스테이션 피드 (3997) 의 볼륨을 상대적으로 감소시킬 수 있으며, 나아가 양립할 수 없는 반응 물질들 사이의 잠재적 반응들을 감소시킨다. 도 9가 프로세싱 스테이션 (3992) 각각을 공급하는 하는 3 개의 밸브들 (3998) 및 단일의 프로세싱 스테이션 피드 (3997) 를 도시하나, 임의의 수의 밸브들 (3998) 및 프로세싱 스테이션 피드들 (3997) 이 채용될 수도 있다는 점이 이해될 것이다. 예를 들어, 일부의 실시예들에서, 프로세스 가스 각각은 프로세싱 스테이션 (3992) 각각을 공급하는 분리된 프로세싱 스테이션 피드 (3997) 를 가질 수도 있다.
결국, 도 7, 및 8이 단일의 반응 챔버 내의 4 개의 프로세싱 스테이션들을 갖는 다중-스테이션 기판 프로세싱 장치들을 도시하나, 다른 구성들이 단일의 반응 챔버 내에서 더 많은 혹은 더 적은 수의 프로세싱 스테이션들을 가질 수도 있다는 점이 주목되어야 한다. 따라서, 예를 들어, 일부의 실시예들에서, 다중-스테이션 기판 프로세싱 장치는 반응 챔버 당 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 또는 16 이상의 프로세싱 스테이션들; 또는 반응 챔버 당 프로세싱 스테이션들의 앞선 수들의 임의의 쌍에 의해 정의되는 범위 내에서 반응 챔버 당 프로세싱 스테이션들의 수를 가질 수도 있다: 예컨대, 반응 챔버 당 2 내지 6 프로세싱 스테이션들, 반응 챔버 당 4 내지 8 프로세싱 스테이션들, 반응 챔버 당 8 내지 16 프로세싱 스테이션들, 등.
그러나, 다른 실시예들에서, 다중-스테이션 기판 프로세싱 장치는 -예컨대, 위의 도 6에서와 같이- 단지 반응 챔버 당 단일의 프로세싱 스테이션을 가질 수도 있으며, 프리커서 공급의 시차를 두는 것은 상이한 반응 챔버 내에 위치한 각각의 복수의 프로세싱 스테이션들 사이에서 프리커서를 재지향시킴으로써 달성될 수도 있다. 따라서, 위의 실시예들 (방법들 및 장치들) 이 복수의 프로세싱 스테이션들이 동일한 또는 상이한 반응 챔버들 내에 위치될 수도 있다는 가능성들을 아우를 수 있도록, "프로세싱 스테이션들" - 개별의 기판이 프리커서로 공급받는 위치-의 관점에서 설명되었다는 점이 주목되어야 한다. 따라서, 예를 들어, 개시된 방법들이 2 개, 또는 4 개, 또는 6 개 등의 프로세싱 스테이션들을 갖는 단일의 반응 챔버와 연관되어 수행될 수도 있으나, 방법들은 단일의 프로세싱 스테이션을 각각 갖는 2 개, 또는 4 개의 또는 6 개 등의 반응 챔버들과 연관되어 수행될 수도 있다. (예를 들어, 일부의 실시예들에서, 제1 프로세싱 스테이션은 제1 반응 챔버 내에 위치할 수도 있으며, 제2 프로세싱 스테이션은 제2 반응 챔버 내에 위치할 수도 있다.) 물론, 이러한 2 개의 경우들의 조합도 가능하다 - 예컨대, 프리커서 재지향 동작들은 4 개의 프로세싱 스테이션들과 연관되어 수행될 수도 있으며, 2 개의 프로세싱 스테이션들은 2 개의 반응 챔버들 각각 내에 포함된다.
포토리쏘그래피
본 명세서에서 전술된 장치/프로세스는 예를 들어, 반도체 디바이스들, 디스플레이들, LED들, 광전지 패널 등의 제조 및 생산을 위한 리쏘그래피 패터닝 툴들 및 프로세스들과 연관하여 사용될 수도 있다. 통상적으로, 그러나 필수적이진 않으나, 이러한 툴들/프로세스들은 통상의 제작 설비에서 같이 사용되거나 실시될 것이다. 필름의 리쏘그래피 패터닝은 통상적으로 아래의 동작들 중 일부 또는 전부를 포함하며, 각 동작은 다수의 가능한 툴들로 가능하게 된다: (1) 스핀-온 또는 스핀-온 툴을 사용하여 워크피스, 즉, 기판 상에서의 포토리지스트의 적용; (2) UV 경화 툴 또는 핫 플레이트 또는 퍼나스 (furnace) 를 사용하는 포토리지스트의 경화; (3) 포토리지스트를 웨이퍼 스테퍼 (stepper) 와 같은 툴로 가시 또는 UV 또는 x-레이 광에 노출; (4) 습식 밴치와 같은 툴을 사용하여 레지스트를 선택적으로 제거하도록 레지스트를 현상하여 레지스트를 패터닝; (5) 건식 또는 플라즈마-지원된 에칭 툴을 사용하여 아래의 필름 또는 워크피스로 리지스트 패턴을 전사; 및 (6) RF 또는 마이크로웨이퍼 플라즈마 레지스트 스트리퍼와 같은 툴을 사용하여 레지스트를 제거.
다른 실시예들
위에 개시된 프로세스들, 방법들, 시스템들, 장치들 및 조성들이 명료함과 이해를 향상시키기 위한 목적으로 특정한 실시예들의 맥락 내에서 구체적으로 설명되었으나, 본 명세서의 범위 내에 있는 이러한 프로세스들, 방법들, 시스템들, 장치들, 및 조성들을 구현하는 다양한 대안적인 방법들이 있다는 것이 당업자에게 명백할 것이다. 따라서, 본 명세서에서 설명된 실시예들은 제한적으로 보여지기 보다는 개시된 진보한 컨셉들의 예시적인 것들로 보여져야 하며, 궁극적으로 본 명세서의 청구물로 지향되는 임의의 청구항들의 범위를 과도하게 한정하는 허용할 수 없는 근거로 사용되서는 안 된다.

Claims (46)

  1. 프로세싱 챔버 내의 제1 프로세싱 스테이션에서 제1 기판 상에 그리고 상기 프로세싱 챔버 내의 제2 프로세싱 스테이션에서 제2 기판 상에 물질의 층들을 증착하는 방법에 있어서,
    공통 소스로부터 필름 프리커서를 연속적으로 흘리는 단계;
    상기 공통 소스로부터 상기 필름 프리커서를 연속적으로 흘리는 동안, 제2 기판이 제2 프로세싱 스테이션에 있고 실질적으로 공급되지 (dose) 않는 동안 제1 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급은 상기 제1 기판 상으로 상기 필름 프리커서를 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제1 기판의 표면 상에 흡착하게 하는, 상기 제1 기판 공급 단계; 및
    상기 공통 소스로부터 상기 필름 프리커서를 연속적으로 흘리는 동안, 상기 제1 기판이 상기 제1 프로세싱 스테이션에 있고 실질적으로 공급되지 않는 동안 상기 제2 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급은 상기 필름 프리커서를 상기 제2 기판 상으로 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제2 기판의 표면 상에 흡착하게 하는, 상기 제2 기판 공급 단계를 포함하는, 물질의 층들을 증착하는 방법.
  2. 제1 항에 있어서,
    상기 제1 기판 및 상기 제2 기판에 공급하는 단계 후, 상기 필름 프리커서를 상기 프로세싱 챔버로부터 전환하는 (divert) 단계;
    상기 제1 기판 및 상기 제2 기판에 공급하는 단계 후, 상기 제1 프로세싱 스테이션 및 상기 제2 프로세싱 스테이션을 퍼지하는 단계; 및
    상기 퍼지 후, 상기 제1 기판 및 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  3. 제2 항에 있어서,
    상기 반응시키는 단계는 상기 제1 기판 및 상기 제2 기판 상의 상기 흡착된 프리커서를 동시에 반응시키는 것을 포함하는, 물질의 층들을 증착하는 방법.
  4. 제2 항에 있어서,
    상기 반응시키는 단계 후, 상기 제1 기판 및 상기 제2 기판의 상기 공급하는 단계, 상기 전환하는 단계, 상기 퍼지하는 단계, 및 상기 반응시키는 단계를 반복하는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  5. 제2 항에 있어서,
    상기 반응시키는 단계 후에, 상기 제1 프로세싱 스테이션 및 상기 제2 프로세싱 스테이션을 퍼지하는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  6. 제2 항에 있어서,
    상기 전환하는 단계 후, 프리커서 누적 볼륨 내에 상기 필름 프리커서를 누적하는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  7. 제2 항에 있어서,
    상기 반응시키는 단계는 RF 전력의 인가로 상기 프로세싱 챔버 내에서 생성되는 플라즈마 또는 상기 프로세싱 챔버 내로 도입된 리모트 플라즈마를 사용하는, 물질의 층들을 증착하는 방법.
  8. 제1 항에 있어서,
    상기 제1 기판에 공급하는 단계 후, 상기 제1 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계, 및
    상기 제2 기판에 공급하는 단계 후, 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계를 더 포함하고, 상기 반응은 상기 제1 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계를 시작한 후에 시작되는, 물질의 층들을 증착하는 방법.
  9. 제8 항에 있어서,
    상기 제1 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계는 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계와 오버랩하는, 물질의 층들을 증착하는 방법.
  10. 제8 항에 있어서,
    상기 제1 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계는 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키지 않는 동안 발생하는, 물질의 층들을 증착하는 방법.
  11. 제1 항에 있어서,
    상기 제1 기판에 공급하는 단계 후 그리고 상기 제2 기판에 공급하는 단계 전에, 상기 필름 프리커서를 상기 프로세싱 챔버로부터 전환하는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  12. 제1 항에 있어서,
    상기 프로세싱 챔버는 상기 프로세싱 챔버 내의 제3 프로세싱 스테이션에서 제3 기판 및 상기 프로세싱 챔버 내의 제4 프로세싱 스테이션에서 제4 기판을 더 포함하고, 그리고
    상기 방법은,
    상기 제1 기판에 공급하는 단계 동안, 상기 제2 기판이 상기 제2 프로세싱 스테이션에 있는 동안, 상기 제4 기판이 상기 제4 프로세싱 스테이션에 있는 동안, 그리고 상기 제2 기판 및 상기 제4 기판에 실질적으로 공급되지 않는 동안 상기 제3 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급하는 단계는 상기 필름 프리커서를 상기 제3 기판 상으로 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제3 기판의 표면 상으로 흡착하게 하는 것을 포함하는, 상기 제3 기판에 공급하는 단계, 및
    상기 제2 기판에 공급하는 단계 동안, 상기 제1 기판이 상기 제1 프로세싱 스테이션에 있는 동안, 상기 제3 기판이 상기 제3 프로세싱 스테이션에 있는 동안, 그리고 상기 제1 기판 및 상기 제3 기판에 실질적으로 공급되지 않는 동안 상기 제4 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급하는 단계는 상기 필름 프리커서를 상기 제4 기판 상으로 흘리고 그리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제4 기판의 표면 상으로 흡착하게 하는 것을 포함하는, 상기 제4 기판에 공급하는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  13. 제12 항에 있어서,
    상기 제1 기판, 상기 제2 기판, 상기 제3 기판, 및 상기 제4 기판에 공급하는 단계 후에, 상기 필름 프리커서를 상기 프로세싱 챔버로부터 전환하는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  14. 제13 항에 있어서,
    상기 전환하는 단계 후, 프리커서 누적 볼륨 내에 상기 필름 프리커서를 누적하는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  15. 제12 항에 있어서,
    상기 제1 기판 및 상기 제3 기판에 공급하는 단계 후 그리고 상기 제2 기판 및 상기 제4 기판에 공급하는 단계 전에, 상기 필름 프리커서를 상기 프로세싱 챔버로부터 전환하는 단계를 더 포함하고, 상기 제2 기판 및 상기 제4 기판에 공급하는 단계는 상기 제1 기판 및 상기 제3 기판에 공급하는 단계 후 및 상기 전환하는 단계 후에 발생하는, 물질의 층들을 증착하는 방법.
  16. 제15 항에 있어서,
    상기 제2 기판 및 상기 제4 기판에 공급하는 단계 후에, 상기 필름 프리커서를 상기 프로세싱 챔버로부터 전환하는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  17. 제12 항에 있어서,
    상기 제1 기판, 상기 제2 기판, 상기 제3 기판, 및 상기 제4 기판에 공급하는 단계 후, 상기 제1 프로세싱 스테이션, 상기 제2 프로세싱 스테이션, 상기 제3 프로세싱 스테이션, 및 상기 제4 프로세싱 스테이션을 퍼지하는 단계; 및
    상기 퍼지하는 단계 후, 상기 제1 기판, 상기 제2 기판, 상기 제3 기판, 및 상기 제4 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  18. 제17 항에 있어서,
    상기 반응시키는 단계는 상기 제1 기판, 상기 제2 기판, 상기 제3 기판, 및 상기 제4 기판 상에 상기 흡착된 프리커서를 동시에 반응시키는 것을 더 포함하는, 물질의 층들을 증착하는 방법.
  19. 제12 항에 있어서,
    상기 제1 기판 및 상기 제3 기판에 공급하는 단계 후, 상기 제2 기판 및 상기 제4 기판 상에서 상기 흡착된 프리커서를 반응시키지 않는 동안 상기 제1 기판 및 상기 제3 기판 상에서 상기 흡착된 프리커서를 동시에 반응시키는 단계, 및
    상기 제2 기판 및 상기 제4 기판에 공급하는 단계 후, 상기 제1 기판 및 상기 제3 기판 상에서 상기 흡착된 프리커서를 반응시키지 않는 동안 상기 제2 기판 및 상기 제4 기판 상에서 상기 흡착된 프리커서를 동시에 반응시키는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  20. 제1 항에 있어서,
    상기 프로세싱 챔버는 상기 프로세싱 챔버 내의 제3 프로세싱 스테이션에서 제3 기판 및 상기 프로세싱 챔버 내의 제4 프로세싱 스테이션에서 제4 기판을 더 포함하고,
    상기 방법은,
    상기 공통 소스로부터 상기 필름 프리커서를 연속적으로 흘리는 단계 동안, 상기 제1 기판이 상기 제1 프로세싱 스테이션에 있고, 상기 제2 기판이 상기 제2 프로세싱 스테이션에 있고, 상기 제4 기판이 상기 제4 프로세싱 스테이션에 있는 동안, 그리고 상기 제1 기판, 상기 제2 기판 및 상기 제4 기판에 실질적으로 공급되지 않는 동안 상기 제3 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급하는 단계는 상기 필름 프리커서를 상기 제3 기판 상으로 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제3 기판의 표면 상으로 흡착하게 하는 것을 포함하는, 상기 제3 기판에 공급하는 단계, 및
    상기 공통 소스로부터 상기 필름 프리커서를 연속적으로 흘리는 단계 동안, 상기 제1 기판이 상기 제1 프로세싱 스테이션에 있고, 상기 제2 기판이 상기 제2 프로세싱 스테이션에 있고, 상기 제3 기판이 상기 제3 프로세싱 스테이션에 있는 동안, 그리고 상기 제1 기판, 상기 제2 기판 및 상기 제3 기판에 실질적으로 공급되지 않는 동안 상기 제4 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급하는 단계는 상기 필름 프리커서를 상기 제4 기판 상으로 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제4 기판의 표면 상으로 흡착하게 하는 것을 포함하는, 상기 제4 기판에 공급하는 단계를 더 포함하고,
    상기 제1 기판에 공급하는 단계는 상기 제3 기판이 상기 제3 프로세싱 스테이션에 있는 동안, 상기 제4 기판이 상기 제4 프로세싱 스테이션에 있는 동안, 그리고 상기 제3 기판 및 상기 제4 기판에 실질적으로 공급되지 않는 동안 상기 제1 기판에 공급하는 단계를 더 포함하고, 그리고
    상기 제2 기판에 공급하는 단계는 상기 제3 기판이 상기 제3 프로세싱 스테이션에 있는 동안, 상기 제4 기판이 상기 제4 프로세싱 스테이션에 있는 동안, 그리고 상기 제3 기판 및 상기 제4 기판에 실질적으로 공급되지 않는 동안 상기 제2 기판에 공급하는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  21. 제20 항에 있어서,
    상기 제1 기판에 공급하는 단계 후, 상기 제1 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계,
    상기 제2 기판에 공급하는 단계 후, 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계로서, 상기 반응은 상기 제1 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 시작되는, 상기 제2 기판 상에서 반응시키는 단계,
    상기 제3 기판에 공급하는 단계 후, 상기 제3 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계로서, 상기 반응은 상기 제1 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 그리고 상기 제2 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 시작되는, 상기 제3 기판 상에서 반응시키는 단계, 및
    상기 제4 기판에 공급하는 단계 후, 상기 제4 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계로서, 상기 반응은 상기 제1 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후, 상기 제2 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 그리고 상기 제3 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 시작되는, 상기 제4 기판 상에서 반응시키는 단계를 더 포함하는, 물질의 층들을 증착하는 방법.
  22. 제21 항에 있어서,
    상기 제1 기판 상에서 상기 흡착된 프리커서의 반응은 상기 제2 기판 상에서 상기 흡착된 프리커서의 반응과 오버랩하는, 물질의 층들을 증착하는 방법.
  23. 제22 항에 있어서,
    상기 제2 기판 상에서 상기 흡착된 프리커서의 반응은 상기 제3 기판 상에서 상기 흡착된 프리커서의 반응과 오버랩하고, 그리고
    상기 제3 기판 상에서 상기 흡착된 프리커서의 반응은 상기 제4 기판 상에서 상기 흡착된 프리커서의 반응과 오버랩하는, 물질의 층들을 증착하는 방법.
  24. 다중-스테이션 기판 프로세싱 장치에 있어서,
    프로세싱 챔버;
    각각이 상기 프로세싱 챔버 내에 포함된 기판 홀더를 갖는 제1 프로세싱 스테이션 및 제2 프로세싱 스테이션;
    필름 프리커서의 유동을 상기 제1 프로세싱 스테이션, 상기 제2 프로세싱 스테이션, 및 프리커서-전환 유동 경로로 지향시키기 위한 밸브 어셈블리; 및
    제어기로서,
    공통 소스로부터 필름 프리커서를 연속적으로 흘리는 단계,
    상기 공통 소스로부터 상기 필름 프리커서를 연속적으로 흘리는 동안, 제2 기판이 상기 제2 프로세싱 스테이션에 있고 실질적으로 공급되지 않는 동안 제1 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급은 상기 제1 기판 상으로 상기 필름 프리커서를 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제1 기판의 표면 상에 흡착하게 하는, 상기 제1 기판 공급 단계, 및
    상기 공통 소스로부터 상기 필름 프리커서를 연속적으로 흘리는 동안, 상기 제1 기판이 상기 제1 프로세싱 스테이션에 있고 실질적으로 공급되지 않는 동안 상기 제2 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급은 상기 필름 프리커서를 상기 제2 기판 상으로 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제2 기판의 표면 상에 흡착하게 하는, 상기 제2 기판 공급 단계를 위한, 상기 밸브 어셈블리 및 진공 소스를 작동시키기 위한 기계-판독가능한 명령어들 (instructions) 을 포함하는, 상기 제어기를 포함하는, 다중-스테이션 기판 프로세싱 장치.
  25. 제24 항에 있어서,
    상기 프로세싱 챔버 내부의 상기 제1 프로세싱 스테이션 및 상기 제2 프로세싱 스테이션을 둘러싸는 볼륨들로부터 프리커서를 제거하기 위한 밸브-작동된 진공 소스를 더 포함하고,
    상기 제어기는,
    상기 제1 기판 및 상기 제2 기판에 공급하는 단계 후, 상기 필름 프리커서를 상기 프로세싱 챔버로부터 전환하는 단계;
    상기 제1 기판 및 상기 제2 기판에 공급하는 단계 후, 상기 제1 프로세싱 스테이션 및 상기 제2 프로세싱 스테이션을 퍼지하는 단계; 및
    상기 퍼지 후, 상기 제1 기판 및 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계를 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  26. 제25 항에 있어서,
    RF 전력 소스를 더 포함하고, 상기 제어기는 상기 제1 기판 및 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키기 위해 상기 RF 전력 소스를 사용하여 플라즈마를 생성하기 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  27. 제25 항에 있어서,
    리모트 플라즈마 소스를 더 포함하고, 상기 제어기는 상기 제1 기판 및 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키기 위해 상기 프로세싱 챔버 내로 플라즈마를 도입하기 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  28. 제25 항에 있어서,
    상기 반응시키는 단계는 상기 제1 기판 및 상기 제2 기판 상에서 상기 흡착된 프리커서를 동시에 반응시키는 것을 포함하는, 다중-스테이션 기판 프로세싱 장치.
  29. 제25 항에 있어서,
    상기 제어기는, 상기 반응 후, 상기 제1 기판 및 상기 제2 기판의 상기 공급, 상기 전환, 상기 퍼지, 및 상기 반응을 반복하기 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  30. 제24 항에 있어서,
    상기 제어기는,
    상기 제1 기판에 공급하는 단계 후, 상기 제1 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계, 및
    상기 제2 기판에 공급하는 단계 후, 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계를 위한 명령어들을 더 포함하고, 상기 반응은 상기 제1 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계를 시작한 후에 시작되는, 다중-스테이션 기판 프로세싱 장치.
  31. 제30 항에 있어서,
    상기 제1 기판 상에서 상기 흡착된 프리커서의 반응은 상기 제2 기판 상에서 상기 흡착된 프리커서의 반응과 오버랩하는, 다중-스테이션 기판 프로세싱 장치.
  32. 제30 항에 있어서,
    상기 제1 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계는 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키지 않는 동안 발생하는, 다중-스테이션 기판 프로세싱 장치.
  33. 제24 항에 있어서,
    상기 프로세싱 챔버 내에 포함된, 기판 홀더를 각각 갖는, 제3 프로세싱 스테이션 및 제4 프로세싱 스테이션을 더 포함하고,
    상기 밸브 어셈블리는 또한 필름 프리커서의 유동을 상기 제3 프로세싱 스테이션 및 상기 제4 프로세싱 스테이션으로 지향시키기 위한 것이고, 그리고
    상기 제어기는,
    상기 제1 기판에 공급하는 단계 동안, 상기 제2 기판이 상기 제2 프로세싱 스테이션에 있는 동안, 제4 기판이 상기 제4 프로세싱 스테이션에 있는 동안, 그리고 상기 제2 기판 및 상기 제4 기판에 실질적으로 공급되지 않는 동안 상기 제3 프로세싱 스테이션의 제3 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급하는 단계는 상기 필름 프리커서를 상기 제3 기판 상으로 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제3 기판의 표면 상으로 흡착하게 하는 것을 포함하는, 상기 제3 기판에 공급하는 단계, 및
    상기 제2 기판에 공급하는 단계 동안, 상기 제1 기판이 상기 제1 프로세싱 스테이션에 있는 동안, 상기 제3 기판이 상기 제3 프로세싱 스테이션에 있는 동안, 그리고 상기 제1 기판 및 상기 제3 기판에 실질적으로 공급되지 않는 동안 상기 제4 프로세싱 스테이션의 상기 제4 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급하는 단계는 상기 필름 프리커서를 상기 제4 기판 상으로 흘리고 그리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제4 기판의 표면 상으로 흡착하게 하는 것을 포함하는, 상기 제4 기판에 공급하는 단계를 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  34. 제33 항에 있어서,
    상기 제어기는 상기 제1 기판, 상기 제2 기판, 상기 제3 기판, 및 상기 제4 기판에 공급하는 단계 후에, 상기 필름 프리커서를 상기 프로세싱 챔버로부터 전환하기 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  35. 제34 항에 있어서,
    프리커서 누적 볼륨을 더 포함하고, 상기 제어기는 상기 전환 후, 상기 프리커서 누적 볼륨 내에 상기 필름 프리커서를 누적하기 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  36. 제33 항에 있어서,
    상기 제어기는 상기 제1 기판 및 상기 제3 기판에 공급하는 단계 후 그리고 상기 제2 기판 및 상기 제4 기판에 공급하는 단계 전에, 상기 필름 프리커서를 상기 프로세싱 챔버로부터 전환하기 위한 명령어들을 더 포함하고, 그리고
    상기 제2 기판 및 상기 제4 기판에 공급하는 단계는 상기 제1 기판 및 상기 제3 기판에 공급하는 단계 후, 그리고 상기 전환하는 단계 후에 발생하는, 다중-스테이션 기판 프로세싱 장치.
  37. 제36 항에 있어서,
    상기 제어기는 상기 제2 기판 및 상기 제4 기판에 공급하는 단계 후에, 상기 필름 프리커서를 상기 프로세싱 챔버로부터 전환하기 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  38. 제33 항에 있어서,
    상기 프로세싱 챔버 내부의 상기 제1 프로세싱 스테이션 및 상기 제2 프로세싱 스테이션을 둘러싸는 볼륨들로부터 프리커서를 제거하기 위한 밸브-작동된 진공 소스를 더 포함하고,
    상기 제어기는,
    상기 제1 기판, 상기 제2 기판, 상기 제3 기판, 및 상기 제4 기판에 공급하는 단계 후, 상기 제1 프로세싱 스테이션, 상기 제2 프로세싱 스테이션, 상기 제3 프로세싱 스테이션, 및 상기 제4 프로세싱 스테이션을 퍼지하는 단계; 및
    상기 퍼지하는 단계 후, 상기 제1 기판, 상기 제2 기판, 상기 제3 기판, 및 상기 제4 기판 상에서 상기 흡착된 프리커서를 반응시키기 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  39. 제38 항에 있어서,
    RF 전력 소스를 더 포함하고, 상기 제어기는 상기 제1 기판, 상기 제2 기판, 상기 제3 기판 및 상기 제4 기판 상에서 상기 흡착된 프리커서를 반응시키기 위해 상기 RF 전력 소스를 사용하여 플라즈마를 생성하기 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  40. 제38 항에 있어서,
    상기 반응시키는 단계는 상기 제1 기판, 상기 제2 기판, 상기 제3 기판, 및 상기 제4 기판 상에서 상기 흡착된 프리커서를 동시에 반응시키는 것을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  41. 제33 항에 있어서,
    상기 제어기는,
    상기 제1 기판 및 상기 제3 기판에 공급하는 단계 후, 상기 제2 기판 및 상기 제4 기판 상에서 상기 흡착된 프리커서를 반응시키지 않는 동안 상기 제1 기판 및 상기 제3 기판 상에서 상기 흡착된 프리커서를 동시에 반응시키는 단계, 및
    상기 제2 기판 및 상기 제4 기판에 공급하는 단계 후, 상기 제1 기판 및 상기 제3 기판 상에서 상기 흡착된 프리커서를 반응시키지 않는 동안 상기 제2 기판 및 상기 제4 기판 상에서 상기 흡착된 프리커서를 동시에 반응시키는 단계를 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  42. 제24 항에 있어서,
    상기 프로세싱 챔버 내에 포함된, 기판 홀더를 각각 갖는, 제3 프로세싱 스테이션 및 제4 프로세싱 스테이션을 더 포함하고,
    상기 밸브 어셈블리는 또한 필름 프리커서의 유동을 상기 제3 프로세싱 스테이션 및 상기 제4 프로세싱 스테이션으로 지향시키기 위한 것이고, 그리고
    상기 제어기는,
    상기 공통 소스로부터 상기 필름 프리커서를 연속적으로 흘리는 단계 동안, 상기 제1 기판이 상기 제1 프로세싱 스테이션에 있고, 상기 제2 기판이 상기 제2 프로세싱 스테이션에 있고, 제4 기판이 상기 제4 프로세싱 스테이션에 있는 동안, 그리고 상기 제1 기판, 상기 제2 기판 및 상기 제4 기판에 실질적으로 공급되지 않는 동안 상기 제3 프로세싱 스테이션의 제3 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급하는 단계는 상기 필름 프리커서를 상기 제3 기판 상으로 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제3 기판의 표면 상으로 흡착하게 하는 것을 포함하는, 상기 제3 기판에 공급하는 단계, 및
    상기 공통 소스로부터 상기 필름 프리커서를 연속적으로 흘리는 단계 동안, 상기 제1 기판이 상기 제1 프로세싱 스테이션에 있고, 상기 제2 기판이 상기 제2 프로세싱 스테이션에 있고, 상기 제3 기판이 상기 제3 프로세싱 스테이션에 있는 동안, 그리고 상기 제1 기판, 상기 제2 기판 및 상기 제3 기판에 실질적으로 공급되지 않는 동안 상기 제4 프로세싱 스테이션의 상기 제4 기판에 상기 필름 프리커서를 공급하는 단계로서, 상기 공급하는 단계는 상기 필름 프리커서를 상기 제4 기판 상으로 흘리고 상기 필름 프리커서로 하여금 흡착-제한된 방식으로 상기 제4 기판의 표면 상으로 흡착하게 하는 것을 포함하는, 상기 제4 기판에 공급하는 단계를 위한 명령어들을 더 포함하고,
    상기 제1 기판에 공급하는 단계는 상기 제3 기판이 상기 제3 프로세싱 스테이션에 있는 동안, 상기 제4 기판이 상기 제4 프로세싱 스테이션에 있는 동안, 그리고 상기 제3 기판 및 상기 제4 기판에 실질적으로 공급되지 않는 동안 상기 제1 기판에 공급하는 단계를 더 포함하고, 그리고
    상기 제2 기판에 공급하는 단계는 상기 제3 기판이 상기 제3 프로세싱 스테이션에 있는 동안, 상기 제4 기판이 상기 제4 프로세싱 스테이션에 있는 동안, 그리고 상기 제3 기판 및 상기 제4 기판에 실질적으로 공급되지 않는 동안 상기 제2 기판에 공급하는 단계를 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  43. 제42 항에 있어서,
    상기 제어기는,
    상기 제1 기판에 공급하는 단계 후, 상기 제1 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계,
    상기 제2 기판에 공급하는 단계 후, 상기 제2 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계로서, 상기 반응은 상기 제1 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 시작되는, 상기 제2 기판 상에서 반응시키는 단계,
    상기 제3 기판에 공급하는 단계 후, 상기 제3 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계로서, 상기 반응은 상기 제1 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 그리고 상기 제2 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 시작되는, 상기 제3 기판 상에서 반응시키는 단계, 및
    상기 제4 기판에 공급하는 단계 후, 상기 제4 기판 상에서 상기 흡착된 프리커서를 반응시키는 단계로서, 상기 반응은 상기 제1 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후, 상기 제2 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 그리고 상기 제3 기판 상에서 상기 흡착된 프리커서의 반응을 시작한 후 시작되는, 상기 제4 기판 상에서 반응시키는 단계를 위한 인스트럭션들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  44. 제43 항에 있어서,
    RF 전력 소스를 더 포함하고, 상기 제어기는 상기 제1 기판, 상기 제2 기판, 상기 제3 기판 및 상기 제4 기판 상에서 상기 흡착된 프리커서를 반응시키기 위해 상기 RF 전력 소스를 사용하여 플라즈마를 생성하기 위한 명령어들을 더 포함하는, 다중-스테이션 기판 프로세싱 장치.
  45. 제44 항에 있어서,
    상기 제1 기판 상의 상기 흡착된 프리커서의 반응은 상기 제2 기판 상의 상기 흡착된 프리커서의 반응과 오버랩하는, 다중-스테이션 기판 프로세싱 장치.
  46. 제45 항에 있어서,
    상기 제2 기판 상에서 상기 흡착된 프리커서의 반응은 상기 제3 기판 상에서 상기 흡착된 프리커서의 반응과 오버랩하고, 그리고
    상기 제3 기판 상에서 상기 흡착된 프리커서의 반응은 상기 제4 기판 상에서 상기 흡착된 프리커서의 반응과 오버랩하는, 다중-스테이션 기판 프로세싱 장치.
KR1020210112559A 2013-07-12 2021-08-25 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급 KR102409456B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220070920A KR102498418B1 (ko) 2013-07-12 2022-06-10 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201361845911P 2013-07-12 2013-07-12
US61/845,911 2013-07-12
US14/133,246 US8940646B1 (en) 2013-07-12 2013-12-18 Sequential precursor dosing in an ALD multi-station/batch reactor
US14/133,246 2013-12-18
KR1020140088693A KR102296320B1 (ko) 2013-07-12 2014-07-14 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020140088693A Division KR102296320B1 (ko) 2013-07-12 2014-07-14 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220070920A Division KR102498418B1 (ko) 2013-07-12 2022-06-10 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급

Publications (2)

Publication Number Publication Date
KR20210108346A true KR20210108346A (ko) 2021-09-02
KR102409456B1 KR102409456B1 (ko) 2022-06-14

Family

ID=52277417

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020140088693A KR102296320B1 (ko) 2013-07-12 2014-07-14 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급
KR1020210112559A KR102409456B1 (ko) 2013-07-12 2021-08-25 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급
KR1020220070920A KR102498418B1 (ko) 2013-07-12 2022-06-10 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020140088693A KR102296320B1 (ko) 2013-07-12 2014-07-14 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220070920A KR102498418B1 (ko) 2013-07-12 2022-06-10 Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급

Country Status (3)

Country Link
US (2) US8940646B1 (ko)
KR (3) KR102296320B1 (ko)
TW (1) TWI614363B (ko)

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9388494B2 (en) 2012-06-25 2016-07-12 Novellus Systems, Inc. Suppression of parasitic deposition in a substrate processing system by suppressing precursor flow and plasma outside of substrate region
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) * 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9637823B2 (en) 2014-03-31 2017-05-02 Asm Ip Holding B.V. Plasma atomic layer deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9797042B2 (en) 2014-05-15 2017-10-24 Lam Research Corporation Single ALD cycle thickness control in multi-station substrate deposition systems
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9617638B2 (en) 2014-07-30 2017-04-11 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ALD system
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9508547B1 (en) * 2015-08-17 2016-11-29 Lam Research Corporation Composition-matched curtain gas mixtures for edge uniformity modulation in large-volume ALD reactors
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US20170314129A1 (en) * 2016-04-29 2017-11-02 Lam Research Corporation Variable cycle and time rf activation method for film thickness matching in a multi-station deposition system
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9738977B1 (en) 2016-06-17 2017-08-22 Lam Research Corporation Showerhead curtain gas method and system for film profile modulation
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
RU172394U1 (ru) * 2017-01-13 2017-07-06 Федеральное государственное автономное образовательное учреждение высшего образования "Национальный исследовательский университет "Московский институт электронной техники" Устройство для атомно-слоевого осаждения
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9972501B1 (en) 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10494715B2 (en) * 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
JP6811147B2 (ja) * 2017-06-23 2021-01-13 東京エレクトロン株式会社 ガス供給系を検査する方法
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10147597B1 (en) 2017-09-14 2018-12-04 Lam Research Corporation Turbulent flow spiral multi-zone precursor vaporizer
US10697059B2 (en) 2017-09-15 2020-06-30 Lam Research Corporation Thickness compensation by modulation of number of deposition cycles as a function of chamber accumulation for wafer to wafer film thickness matching
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10822699B2 (en) * 2017-12-29 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
JP6839672B2 (ja) * 2018-02-06 2021-03-10 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
SG11202013097VA (en) * 2018-06-29 2021-01-28 Lam Res Corp Oxidative conversion in atomic layer deposition processes
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023114067A1 (en) * 2021-12-13 2023-06-22 Lam Research Corporation Valve systems for balancing gas flow to multiple stations of a substrate processing system

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030064395A (ko) * 2000-08-31 2003-07-31 마이크론 테크놀로지, 인크 원자층 도핑장치 및 방법
KR20050092465A (ko) * 2004-03-16 2005-09-22 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
KR20050113423A (ko) * 2004-05-28 2005-12-02 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
KR100651599B1 (ko) * 2005-11-15 2006-11-29 동부일렉트로닉스 주식회사 원자층 증착 장치
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
JP2013524516A (ja) * 2010-03-31 2013-06-17 東京エレクトロン株式会社 シリコン及びシリコン含有膜の原子層堆積

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6984591B1 (en) 2000-04-20 2006-01-10 International Business Machines Corporation Precursor source mixtures
US6800173B2 (en) 2000-12-15 2004-10-05 Novellus Systems, Inc. Variable gas conductance control for a process chamber
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US20020144786A1 (en) 2001-04-05 2002-10-10 Angstron Systems, Inc. Substrate temperature control in an ALD reactor
US6902620B1 (en) 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US20070259111A1 (en) 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US7901539B2 (en) * 2006-09-19 2011-03-08 Intevac, Inc. Apparatus and methods for transporting and processing substrates
US20090035946A1 (en) * 2007-07-31 2009-02-05 Asm International N.V. In situ deposition of different metal-containing films using cyclopentadienyl metal precursors
US20090081356A1 (en) 2007-09-26 2009-03-26 Fedorovskaya Elena A Process for forming thin film encapsulation layers
US8309374B2 (en) * 2008-10-07 2012-11-13 Applied Materials, Inc. Advanced platform for processing crystalline silicon solar cells
US8367565B2 (en) * 2008-12-31 2013-02-05 Archers Inc. Methods and systems of transferring, docking and processing substrates
US8716115B2 (en) * 2011-10-18 2014-05-06 Intermolecular, Inc. High productivity combinatorial dual shadow mask design
US8985152B2 (en) 2012-06-15 2015-03-24 Novellus Systems, Inc. Point of use valve manifold for semiconductor fabrication equipment
US8940646B1 (en) * 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030064395A (ko) * 2000-08-31 2003-07-31 마이크론 테크놀로지, 인크 원자층 도핑장치 및 방법
KR20050092465A (ko) * 2004-03-16 2005-09-22 삼성전자주식회사 원자층 증착 방법을 이용하는 커패시터 형성 방법
KR20050113423A (ko) * 2004-05-28 2005-12-02 삼성전자주식회사 원자층 적층 방식의 박막 형성방법 및 이를 이용한 반도체소자의 커패시터 형성방법
KR100651599B1 (ko) * 2005-11-15 2006-11-29 동부일렉트로닉스 주식회사 원자층 증착 장치
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
JP2013524516A (ja) * 2010-03-31 2013-06-17 東京エレクトロン株式会社 シリコン及びシリコン含有膜の原子層堆積

Also Published As

Publication number Publication date
US9236244B2 (en) 2016-01-12
KR102296320B1 (ko) 2021-08-31
KR20220084002A (ko) 2022-06-21
KR102498418B1 (ko) 2023-02-09
TW201516174A (zh) 2015-05-01
KR102409456B1 (ko) 2022-06-14
US8940646B1 (en) 2015-01-27
KR20150008015A (ko) 2015-01-21
TWI614363B (zh) 2018-02-11
US20150099372A1 (en) 2015-04-09
US20150017812A1 (en) 2015-01-15

Similar Documents

Publication Publication Date Title
KR102409456B1 (ko) Ald 다중-스테이션/배치 반응기에서의 순차적인 프리커서 공급
US11646198B2 (en) Ultrathin atomic layer deposition film accuracy thickness control
KR102328850B1 (ko) 서브-포화된 원자층 증착 및 등각막 증착
US10679848B2 (en) Selective atomic layer deposition with post-dose treatment
US10825679B2 (en) Selective growth of SIO2 on dielectric surfaces in the presence of copper
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
KR102538780B1 (ko) Ald에 의해 형성된 실리콘 나이트라이드 막의 피처-내 습식 에칭 레이트의 균일한 감소를 위한 방법들 및 장치들
US9502238B2 (en) Deposition of conformal films by atomic layer deposition and atomic layer etch
CN107665811B (zh) 使用复合peald和pecvd方法的可变深宽比特征的间隙填充
KR20140090964A (ko) 유기금속 공-반응물들과의 교차-치환 반응들을 통해 sic 및 sicn 막들을 증착시키기 위한 장치들 및 방법들
WO2023178273A1 (en) Reducing capacitance in semiconductor devices
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean
WO2023114401A1 (en) Atomic layer deposition pulse sequence engineering for improved conformality for low temperature precursors
WO2023283144A1 (en) Plasma enhanced atomic layer deposition of silicon-containing films

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant