KR20210027511A - 낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법 - Google Patents

낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법 Download PDF

Info

Publication number
KR20210027511A
KR20210027511A KR1020217006038A KR20217006038A KR20210027511A KR 20210027511 A KR20210027511 A KR 20210027511A KR 1020217006038 A KR1020217006038 A KR 1020217006038A KR 20217006038 A KR20217006038 A KR 20217006038A KR 20210027511 A KR20210027511 A KR 20210027511A
Authority
KR
South Korea
Prior art keywords
substrate
silicon
source gas
sccm
gas
Prior art date
Application number
KR1020217006038A
Other languages
English (en)
Other versions
KR102501287B1 (ko
Inventor
이-차우 후앙
후아 청
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20210027511A publication Critical patent/KR20210027511A/ko
Application granted granted Critical
Publication of KR102501287B1 publication Critical patent/KR102501287B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/08Germanium
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/10Inorganic compounds or compositions
    • C30B29/52Alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02293Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process formation of epitaxial layers by a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/102Material of the semiconductor or solid state bodies
    • H01L2924/1025Semiconducting materials
    • H01L2924/1026Compound semiconductors
    • H01L2924/1027IV
    • H01L2924/10271Silicon-germanium [SiGe]

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

실시예에서, 기판 상에 규소 게르마늄 물질을 선택적으로 증착시키는 방법이 제공된다. 방법은 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -; 기판을 약 450 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르마늄 공급원 가스, 식각제 가스, 캐리어 가스 및 적어도 하나의 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 제1 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계를 포함한다.

Description

낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법
본 개시내용의 실시예들은 일반적으로, 반도체 제조 프로세스들 및 반도체 디바이스들에 관한 것으로, 더 구체적으로, 반도체 디바이스들을 형성하거나 형성하는 데 사용되는 규소 게르마늄 함유 막들을 증착시키는 방법들에 관한 것이다.
선택적 SiGe 에피택셜 증착은, 기판의 노출된 유전체 영역들 상에 순 SiGe 성장 없이, 층들의 성장 또는 자람으로 또한 알려진, 기판의 노출된 규소(Si) 또는 다른 반도체 영역들 상의 에피택셜 층들의 증착을 허용한다. 선택적 에피택시는 반도체 디바이스 구조들의 제조에, 예컨대, 상승된 소스/드레인들, 소스/드레인 연장부들, 콘택 플러그들, 및 쌍극 디바이스들의 베이스 층들에 원하는 층들을 형성하는 데 사용될 수 있다. 일반적으로, 선택적 에피택시 프로세스는 2가지 작동들: 증착 작동 및 식각 작동을 수반한다. 증착 및 식각 작동들은, 반도체 상에서 그리고 유전체 표면들 상에서, 비교적 상이한 반응 속도들, 및 그에 따른 증착 속도들로, 동시에 발생한다. 선택적 SiGe 성장의 증착 식각 체제에 대한 선택적 프로세스 윈도우는 반도체 표면들 상에만 누적 증착을 초래하고, 이는 증착된 물질을 기판의 노출된 표면들로부터 제거하는 데 사용되는 식각제 가스의 농도를 변경함으로써 조정될 수 있다.
화학 기상 증착에 의한 선택적 규소 게르마늄 에피택시는 전형적으로, 하나의 Si 또는 Ge 원자들, 예컨대, 실란, 디클로로실란 또는 게르만을 함유하는 전구체들을 채용한다. Si에 대한 선택성으로 알려진, 유전체들에 비해 Si 또는 다른 반도체 영역들 상의 SiGe의 누적 또는 순 증착은, 기판 상의 노출된 반도체 상에 SiGe를 증착시키거나 성장시키는 데 사용되는 증착 전구체(들)와 함께 식각제, 예컨대, 염화수소를 공동 유동시킴으로써 달성된다. 그러한 프로세스들 동안, 기판의 온도는 500 ℃ 초과의 온도들로 증가되고/거나 그러한 온도들로 유지된다. 그러나, 500 ℃ 미만의 기판 온도들에서, 규소 게르마늄의 에피택셜 성장이 감소하고 유전체 물질들에 비해 Si에 대한 증착 또는 성장 선택성이 급격히 감소한다.
그러므로, 낮은 온도들(< 약 500 ℃)에서 Si에 대한 양호한 선택성과 성장 또는 증착 속도 양쪽 모두를 유지하는, Si에 선택적인 규소 게르마늄 에피택시 프로세스가 필요하다.
실시예에서, 기판 상에 규소 게르마늄 물질을 증착시키는 방법이 제공되고, 방법은 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -; 기판을 약 450 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르마늄 공급원 가스, 식각제 가스, 캐리어 가스 및 적어도 하나의 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 제1 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계를 포함한다.
다른 실시예에서, 기판 상에 규소 게르마늄 물질을 증착시키는 방법이 제공되고, 방법은 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 게르마늄 단결정을 가짐 -; 기판을 약 450 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르마늄 공급원 가스, 식각제 가스, 캐리어 가스, 및 붕소 함유 도펀트 공급원 가스 또는 인 함유 도펀트 공급원 가스를 포함하는 적어도 하나의 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계 - 규소 게르마늄 물질은 0.3 mΩ·cm의 비저항을 가짐 - 를 포함한다.
다른 실시예에서, 기판 상에 규소 게르마늄 물질을 증착시키는 방법이 제공되고, 방법은 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -; 기판을 400 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르만 또는 디게르만을 포함하는 게르마늄 공급원 가스, HCl, HF, Cl2, HBr, Br2, SiCl4, SiHCl3, SiH2Cl2, GeCl4, 및 GeHCl3 중 하나 이상을 포함하는 식각제 가스, 캐리어 가스 및 디보란을 포함하는 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 제1 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계를 포함한다.
다른 실시예에서, 컴퓨터 시스템으로 하여금 프로세스를 수행하기 위해 기판 처리 장치를 제어하게 하는 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체가 제공되고, 프로세스는: 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -; 기판을 약 450 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르마늄 공급원 가스, 식각제 가스, 캐리어 가스 및 적어도 하나의 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 제1 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계를 포함한다.
본 개시내용의 위에서 언급된 특징들이 상세히 이해될 수 있도록, 위에 간략히 요약된 본 개시내용의 더 구체적인 설명이 실시예들을 참조하여 이루어질 수 있으며, 이들 중 일부는 첨부 도면들에 예시되어 있다. 그러나, 첨부 도면들은 단지 예시적인 실시예들만을 예시하고 그러므로 그의 범위를 제한하는 것으로 간주되어서는 안 되며, 다른 동등하게 효과적인 실시예들을 허용할 수 있다는 점에 주목해야 한다.
도 1a는 일부 실시예들에 따라 에피택셜 층을 형성하는 방법을 예시하는 흐름도이다.
도 1b는 일부 실시예들에 따라 에피택셜 층을 형성하는 방법을 예시하는 흐름도이다.
도 2는 일부 실시예들에 따라 에피택셜 증착된 규소 함유 층을 갖는 핀 전계 효과 트랜지스터(FinFET) 디바이스를 도시한다.
도 3a는 전통적인 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET) 내의 소스/드레인 연장부 디바이스의 예시를 도시한다.
도 3b는 FinFET 내의 소스/드레인 연장부 디바이스의 예시를 도시한다.
도 4는 에피택셜 프로세스들을 수행하는 데에 사용될 수 있는 열 처리 챔버의 단면도이다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 일 실시예의 요소들 및 특징들이 추가의 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
본원에 설명된 실시예들은 다양한 디바이스 구조들의 제조 동안 규소 함유 화합물들을 에피택셜 증착시키기 위한 프로세스를 예시한다. 본원에 설명된 프로세스들은, 약 400 ℃, 예컨대, 약 350 ℃ 이하의 온도들에서 수행될 때, 기판의 노출된 유전체에 비해 노출된 결정질 규소에 대한 거의 완전한 선택성으로, 낮은 기판 온도들(예를 들어, 약 450 ℃ 이하)에서, 기판의 노출된 유전체 영역들 상에는 아니고 기판의 노출된 결정질 규소 함유 영역들 상의 규소 게르마늄 막 층의 선택적 및 에피택셜 성장 또는 증착을 허용한다. 본원의 프로세스들은 유리하게, 성장된 또는 증착된 규소 함유 화합물에서 약 1x1015 이상, 예컨대, 약 1x1021 이상, 예컨대, 약 5x1021의 붕소 농도들을 제공한다. 또한, 도펀트, 예컨대, 붕소는 유리하게, 낮은 온도들에서 에피택셜 규소 게르마늄 물질들의 성장 또는 증착을 허용한다. 더 낮은 온도 프로세스들은 유리하게, 프로세스의 더 낮은 열 예산들을 가능하게 하고, 이로써, 형성 중인 디바이스들에 대한 부정적인 열 효과들을 감소시킨다.
본 개시내용의 실시예들은 에피택셜 규소 함유 화합물들의 막들을 선택적으로 성장시키거나 증착시키기 위한 프로세스들을 포함한다. 예를 들어, 규소 함유 화합물들은 기판의 결정질 규소 함유 영역들의 노출된 영역들 상에서는 성장하고, 기판 상의 유전체 물질들의 노출된 영역들 상에서는 성장하지 않는다. 선택적 규소 함유 막 성장 또는 증착은, 기판 표면이, 거기에 노출된 하나 초과의 물질, 예컨대, 노출된 단결정질 규소 표면 영역들, 및 유전체 물질들, 예컨대, SiO 및 SiN 층들로 커버되는 피쳐들을 가질 때 수행될 수 있다. 결정질 규소 표면에 대해 선택적인 에피택셜 성장 또는 증착은, 유전체 피쳐들 또는 구조들을 에피택셜 증착 물질에 의해 코팅되지 않은 상태로 두면서, 증착 동안 식각제(예를 들어, HCl)를 사용하여 달성된다. 증착 동안, 증착 물질은 노출된 단결정 규소 상에 결정질 층을 형성하고, 노출된 유전체 표면들 상에 다결정질 또는 비정질 층을 형성한다. 식각제는, 기판의 노출된 결정질 물질 상에 성장된 또는 증착된 에피택셜 결정질 막을 제거할 수 있는 것보다 더 빠르게, 비정질 또는 다결정질 피쳐들 상에 성장된 또는 증착된 비정질 또는 다결정질 막을 제거하고(또는 규소 게르마늄 물질이 기판의 유전체 물질의 표면 상에는 결코 성장하지 않음), 따라서 기판의 노출된 결정질 물질 상의 규소 함유 화합물의 선택적 에피택셜 순 성장 또는 증착이 달성된다.
본원에 개시된 프로세스들은 다양한 기하형상들(예를 들어, 원형, 정사각형 및 직사각형) 및 크기들(예를 들어, 200 mm OD, 300 mm OD, 400 mm OD)을 갖는 다양한 기판들, 예컨대, 반도체 웨이퍼들, 예컨대, 결정질 및 단결정질 규소(예를 들어, Si<100> 및 Si<111>), 규소 게르마늄, 도핑된 또는 도핑되지 않은 규소 또는 게르마늄 기판들, 절연체상 규소(SOI) 기판들, III-V 족 물질들, 및 패터닝된 또는 패터닝되지 않은 기판들 상에서 수행될 수 있다. 표면들 및/또는 기판들은 이러한 물질들은 물론, 유전체, 전도성 및 장벽 특성들을 갖는 막들, 층들 및 물질들을 포함하고, 폴리실리콘을 포함한다.
본원에서 사용되는 바와 같이, 규소 화합물들 및 규소 함유 화합물들은 물질들, 층들, 및/또는 막들을 지칭하며, 본원에 설명된 프로세스들 동안 선택적으로 그리고 에피택셜 성장되는, Si, SiGe, 이들의 도핑된 변형물들, 및 이들의 조합들을 포함한다. 규소 화합물들 및 규소 함유 화합물들은 막들 내에 변형, 비변형, 또는 변형 및 비변형 층들을 포함한다.
도 1a는 실시예에 따라 기판의 선택된 표면들 상에 선택적 에피택셜 층을 형성하는 방법(100)을 예시하는 흐름도이다. 에피택셜 층은, 예를 들어, 규소 게르마늄 막이다. 방법(100)은 작동(105)에서 기판을 기판 처리 챔버 내에 위치시키는 단계를 포함한다. 방법(100)은 작동(110)에서 450 ℃ 이하, 예컨대, 400 ℃ 이하, 예컨대, 350 ℃ 이하, 또는, 예컨대, 300 ℃ 이하의 온도로 기판을 가열하거나, 그러한 온도로 기판을 유지하거나, 그러한 온도로 기판을 가열하고 이를 유지하는 단계를 더 포함한다. 예를 들어, 기판은 Si 함유 화합물의 증착 또는 성장 동안 약 250 ℃ 내지 약 450 ℃, 또는, 예컨대, 약 270 ℃ 내지 약 450 ℃의 온도로 유지될 수 있다. 방법(100)은 작동(115)에서, 가열된 기판을 규소 공급원 가스, 게르마늄 공급원 가스, 식각제, 캐리어 가스, 및 적어도 하나의 도펀트 공급원 가스를 포함하는 프로세스 가스에 노출시키는 단계를 더 포함한다. 방법(100)은 작동(120)의 종료 시에 유전체 피쳐들 또는 구조들이 규소 게르마늄 물질에 의해 코팅되지 않은 상태로 남아 있는 동안 결정질 규소 표면 상에 규소 게르마늄 물질을 에피택셜 및 선택적으로 성장시키거나 증착시키는 단계를 더 포함한다.
캐리어 가스들은 본원에 설명된 프로세스들 동안 규소 공급원 가스(들), 게르마늄 공급원 가스(들), 도펀트 공급원 가스(들), 및 식각제 공급원 가스(들)를 이송하는 데 사용된다. 캐리어 가스들은 H2, Ar, N2, He, 및 이들의 조합들을 포함한다. 일부 실시예들에서, H2는 캐리어 가스로서 사용된다. 다른 실시예들에서, N2는 캐리어 가스로서 사용된다. 캐리어 가스들은 프로세스의 일부 실시예들 동안에 다양한 비율들로 조합될 수 있다.
기판의 노출된 유전체 물질들 상에 비정질 또는 다결정질 형태로 형성될 수 있는, 노출된 유전체 물질들 상에 성장된 Si 함유 물질 막을, 기판의 노출된 결정질 규소 상에, 예를 들어, 단결정 규소 물질 상에 결정질 형태로 성장된 또는 증착된 Si 함유 물질을 제거할 수 있는 것보다 더 빠르게 제거하는 데 가스 형태의 식각제들이 채용된다. 본원에 설명된 프로세스들 동안 그러한 목적들에 유용한 식각제들은 HCl, HF, HBr, Br2, Si2Cl6, SiCl4, SiHCl3, SiH2Cl2, CCl4, Cl2, GeCl4, GeHCl3, 및 이들의 조합들을 포함한다.
본원에 설명된 선택적 에피택시 프로세스들에 유용한 규소 공급원 가스(들) 또는 전구체들은 실란(SiH4), 더 고차의 실란들, 할로겐화 실란들, 및 유기실란들을 포함한다. 더 고차의 실란들은 실험식(SixH(2x+2))을 갖는 화합물들, 예컨대, 디실란(Si2H6), 트리실란(Si3H8), 및 테트라실란(Si4H10)을 포함한다. 할로겐화 실란들은 실험식(X'ySixH(2x+2-y)) - 여기서 X' = F, Cl, Br 또는 I - 을 갖는 화합물들, 예컨대, 디클로로실란(SiH2Cl2), 테트라클로로실란(SiCl4), 및 헥사클로로디실란(Si2Cl6), 및 트리클로로실란(SiHCl3)을 포함한다. 유기실란들은, 실험식(RySixH(2x+2-y)) - 여기서 R = 메틸, 에틸, 프로필 또는 부틸 - 을 갖는 화합물들, 예컨대, 메틸실란((CH3)SiH3), 디메틸실란((CH3)2SiH2), 에틸실란((CH3CH2)SiH3), 메틸디실란((CH3)Si2H5), 디메틸디실란((CH3)2Si2H4), 및 헥사메틸디실란((CH3)6Si2)을 포함한다.
본원에 설명된 선택적 에피택시 프로세스들에 유용한 게르마늄 공급원 가스(들) 또는 전구체들은 게르만들(예를 들어, GeH4), 더 고차의 게르만들, 할로겐화 게르만들, 및 유기게르만들을 포함한다. 더 고차의 게르만들은 실험식(GexH(2x+2))을 갖는 화합물들, 예컨대, 디게르만(Ge2H6), 트리게르만(Ge3H8) 및 테트라게르만(Ge4H10)을 포함한다. 할로겐화 게르만들은 GeCl4(게르마늄 테트라클로라이드) 및 GeHCl3(트리클로로게르만)을 포함한다. 유기게르만들은, 실험식(RyGexH(2x+2-y)) - 여기서 R = 메틸, 에틸, 프로필 또는 부틸 - 을 갖는 화합물들, 예컨대, 메틸게르만((CH3)GeH3), 디메틸게르만((CH3)2GeH2), 에틸게르만((CH3CH2)GeH3), 메틸디게르만((CH3)Ge2H5), 디메틸디게르만((CH3)2Ge2H4) 및 헥사메틸디게르만((CH3)6Ge2)을 포함한다.
규소 함유 화합물들의 증착된 막 층들은 그의 원하는 전도성 특성들을 달성하기 위해 특정 도펀트들로 도핑된다. 일부 실시예들에서, 규소 함유 화합물은, 예컨대, 증착된 막 층 내로 약 1x1015 원자/㎤ 이상, 예컨대, 약 1x1019 원자/㎤ 이상, 예컨대, 약 5x1021 원자/㎤의 농도로 붕소를 추가하기 위해 증착 전구체 가스에 필요한 비율로 디보란을 증착 챔버 내로 유동시킴으로써 p형으로 도핑된다. 예를 들어, 규소 함유 화합물은, 예컨대, 그에 약 1x1015 원자/㎤ 내지 약 5x1021 원자/㎤ 범위(예컨대, 약 5x1019 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1018 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1020 원자/㎤ 내지 약 2.5x1021 원자/㎤ 범위)의 농도로 붕소를 추가하기 위해 규소 함유 화합물의 증착 동안에 디보란을 유동시킴으로써 p형으로 도핑된다. 다른 실시예에서, 규소 함유 화합물은, 증착된 막 층에 1x1015 원자/㎤ 내지 약 5x1021 원자/㎤(예컨대, 약 5x1019 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1018 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1020 원자/㎤ 내지 약 2.5x1021 원자/㎤ 범위)의 P 농도를 달성하기 위해 인 공급원 가스를 증착 챔버 내에 유동시킴으로써 n형으로 도핑된다.
본원에서 사용되는 도펀트들은 붕소 함유 도펀트들 및 인 함유 도펀트들을 포함한다. 붕소 함유 도펀트 공급원 가스들은 보란들, 유기보란들(예를 들어, 알킬보란들), 및 붕소 할로겐화물들을 포함한다. 보란들은 보란(BH3), 디보란(B2H6), 트리보란(B3H5), 테트라보란(B4H10), 펜타보란(9)(B5H9), 펜타보란(11), 헥사보란(10)(B6H10), 헥사보란(12)(B6H12), 및 데카보란(14)(B10H14)을 포함하고, 한편 알킬보란들은 실험식(RxBH(3-x))을 갖는 화합물들을 포함하며, 여기서 R = 메틸, 에틸, 프로필 또는 부틸이고 x = 0, 1, 2 또는 3이다. 알킬보란들은 트리메틸보란((CH3)3B), 디메틸보란((CH3)2BH), 트리에틸보란((CH3CH2)3B) 및 디에틸보란((CH3CH2)2BH)을 포함한다. 붕소 할로겐화물들은 결전자 붕소 할로겐화물들, 예컨대, 삼플루오린화붕소(BF3), 삼염화붕소(BCl3) 및 삼브로민화붕소(BBr3)를 포함한다. 도펀트 공급원 가스들은 또한, 인 함유 도펀트들, 예컨대, 포스핀(PH3) 및 알킬포스핀들, 예컨대, 실험식(RxPH(3-x))을 갖는 화합물들을 포함하고, 여기서 R = 메틸, 에틸, 프로필 또는 부틸이고, x = 0, 1, 2 또는 3이다. 알킬포스핀들은 트리메틸포스핀((CH3)3P), 디메틸포스핀((CH3)2PH), 트리에틸포스핀((CH3CH2)3P) 및 디에틸포스핀((CH3CH2)2PH)을 포함한다. 도펀트 공급원 가스들로서 사용될 수 있는 다른 인 함유 화합물들은 삼염화인(PCl3), 삼브로민화인(PBr3), 포스판들, 예컨대, 트리부틸 포스페이트(TBP), 및 실릴포스핀들[(H3Si)3-xPRx]을 포함하고, 여기서 x = 0, 1, 2이고, Rx는 수소 또는 중수소이다. 도펀트 공급원 가스들은 또한, 할로겐화 비소 화합물들 아르신(AsH3), 트리메틸비소, 및 실릴아르신들[(H3Si)3-xAsRx] - 여기서 x = 0, 1, 2이고, Rx는 수소 또는 중수소임 - 을 포함하는 비소 함유 도펀트들을 포함한다.
방법(100)의 예로서, 기판의 노출된 단결정질 규소 표면 상에는 도핑된 SiGe 물질을 형성하지만 기판의 노출된 유전체 물질들 상에는 형성하지 않기 위해 규소 함유 물질은 에피택셜 및 선택적으로 성장된다. 예를 들어, 도핑된 SiGe 물질은 상승된 소스/드레인들, 소스/드레인 연장부들, 콘택 플러그들, 및 단결정질 규소를 포함하는 쌍극 디바이스들의 베이스 층들 상에 선택적으로 형성된다. 단결정질 표면은, 예를 들어, 규소 함유 단결정 또는 규소 게르마늄 단결정일 수 있다. 반도체 피쳐를 함유하는 기판(예를 들어, 300 mm OD)이 기판 처리 챔버 내에 배치된다. 처리 동안, 규소 공급원 가스(예를 들어, 실란)는 캐리어 가스(예를 들어, H2 및/또는 N2), 게르마늄 공급원 가스(예를 들어, GeH4), 도펀트 공급원 가스(예를 들어, B2H6) 및 식각제(예를 들어, HCl)와 함께 기판 처리 챔버 내로 동시에 유동된다. 이러한 가스들은 동일하거나 상이한 도관들로 기판 처리 챔버 내로 유동될 수 있다. 가스들은 샤워헤드에서, 챔버로의 도입 채널에서, 챔버에서, 또는 구역화된 샤워헤드를 빠져나간 후에 혼합될 수 있다. 규소 공급원 가스의 유량은 약 5 sccm 내지 약 500 sccm, 예컨대, 약 10 sccm 내지 약 100 sccm, 예컨대, 약 20 sccm 내지 약 50 sccm 범위에 있다. 캐리어 가스의 유량은 약 1,000 sccm 내지 약 60,000 sccm, 예컨대, 약 10,000 sccm 내지 약 20,000 sccm, 예컨대, 약 12,000 sccm 내지 약 15,000 sccm이다. 게르마늄 공급원 가스의 유량은 약 0.1 sccm 내지 약 100 sccm, 예컨대, 약 0.1 sccm 내지 약 10 sccm 또는 약 0.5 sccm 내지 약 20 sccm, 예컨대, 약 0.5 sccm 내지 약 2 sccm, 예를 들어, 약 1 sccm의 범위에 있다. 도펀트 공급원 가스의 유량은 약 0.01 sccm 내지 약 3 sccm, 예컨대, 약 0.1 sccm 내지 약 2 sccm, 예를 들어, 약 0.5 sccm 내지 약 1 sccm이다. 식각제 가스의 유량은 약 5 sccm 내지 약 1,000 sccm, 예컨대, 약 10 sccm 내지 약 50 sccm, 예를 들어, 약 20 sccm 내지 약 40 sccm의 범위에 있다. 기판 처리 챔버는 약 0.1 Torr 내지 약 200 Torr, 예컨대, 약 5 Torr 내지 약 20 Torr, 예를 들어, 약 10 Torr 내지 약 15 Torr의 압력으로 유지된다. 기판은 약 450 ℃ 이하, 예컨대, 약 400 ℃ 이하, 예컨대, 약 350 ℃ 이하, 예컨대, 약 300 ℃ 이하의 온도로 유지된다. 예를 들어, 기판은 약 250 ℃ 내지 약 450 ℃, 예컨대, 약 270 ℃ 내지 약 450 ℃의 온도로 유지(또는 그러한 온도로 가열되고 유지)될 수 있다. 공급원 가스 혼합물의 반응은 열적으로 구동되고, 이는 규소 물질, 즉, 규소 게르마늄 물질을 기판의 결정질 규소 표면 상에 에피택셜 증착시키기 위해, 가열된 기판 표면에서 반응하며, 기판의 비정질 또는 다결정질 규소 기재의 유전체 피쳐들 상인 것으로 여겨진다. 식각제(예를 들어, HCl)는 기판의 표면 상의 비정질 또는 다결정질 규소 기재의 또는 다른 유전체 피쳐들 상에 또한 형성되는 SiGe 화합물들을 식각하지만, 단결정질 규소 상에 형성된 에피택셜 층을 상당히 식각하지는 않는다.
따라서, 증착 또는 성장 프로세스는 노출된 결정질 규소 표면 상에 약 20 Å 내지 약 3,000 Å(예컨대, 약 50 Å 내지 약 1000 Å, 예를 들어, 약 50 Å 내지 약 100 Å) 범위의 두께를 갖는 도핑된 SiGe 물질을 약 5 Å/분 내지 약 600 Å/분(예컨대, 약 5 Å/분 내지 약 50 Å/분, 예를 들어, 약 10 Å/분 내지 약 30 Å/분)의 증착 속도로 선택적으로 형성하도록 수행된다. 증착된 SiGe 물질의 게르마늄 농도는 약 1 원자% 내지 약 100 원자% 물질(예컨대, 약 10 원자% 내지 약 100 원자%, 예컨대, 약 10 원자% 내지 약 90 원자%, 예컨대, 약 40 원자% 내지 약 70 원자%, 예를 들어, 약 60 원자%) 범위에 있다. 증착된 SiGe 물질의 붕소 농도는 약 1x1015 원자/㎤ 내지 약 5x1021 원자/㎤ 범위(예컨대, 약 5x1019 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1018 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1020 원자/㎤ 내지 약 2.5x1021 원자/㎤ 범위)에 있다.
에피택셜 성장된 B-도핑된 SiGe 층의 비저항은 약 0.3 mΩ·cm 이하(예컨대, 약 0.2 mΩ·cm 내지 약 0.3 mΩ·cm, 예를 들어, 약 0.25 mΩ·cm 이하)이다.
도 1b는 실시예에 따라 기판의 부분들 상에 에피택셜 층을 선택적으로 형성하는 방법(150)을 예시하는 흐름도이다. 에피택셜 층은, 예를 들어, 규소 게르마늄 막이다. 방법(150)은 작동(155)에서 기판을 기판 처리 챔버 내에 위치시키는 단계를 포함한다. 방법(150)은 작동(160)에서 기판을 450 ℃ 이하, 예컨대, 400 ℃ 이하, 예컨대, 350 ℃ 이하, 예컨대, 300 ℃ 이하의 온도로 유지(및/또는 가열)하는 단계를 더 포함한다. 예를 들어, 기판은 약 250 ℃ 내지 약 450 ℃, 예컨대, 약 270 ℃ 내지 약 450 ℃의 온도로 유지(또는 가열)될 수 있다. 방법(150)은 작동(165)에서, 기판을 제1 규소 공급원 가스, 제1 게르마늄 공급원 가스, 제1 식각제 가스, 제1 캐리어 가스, 및 적어도 하나의 제1 도펀트 공급원 가스를 포함하는 제1 프로세스 가스에 노출시키는 단계를 더 포함한다. 방법(150)은 작동(170)에서 기판의 부분들 상에 제1 규소 게르마늄 물질을 에피택셜 및 선택적으로 증착시키는 단계를 더 포함한다. 작동들(155, 160, 165 및 170)은 방법(100)의 작동들(105, 110, 115 및 120)과 동일하다. 방법(150)은 작동(175)에서, 기판을 제2 규소 공급원 가스, 제2 게르마늄 공급원 가스, 제2 식각제 가스, 및 제2 캐리어 가스, 및 선택적으로 제2 도펀트 공급원 가스를 포함하는 제2 프로세스 가스에 노출시키는 단계를 더 포함한다. 방법(150)은 작동(180)에서 기판의 부분들 상에 제2 규소 게르마늄 물질을 에피택셜 및 선택적으로 증착시키는 단계를 더 포함한다.
방법(150)의 예로서, 작동들(175 및 180)에서, 제2 규소 화합물은 위에서 설명된 바와 같은 규소 화합물들 중 임의의 것을 증착시킨 후에 제2 규소 공급원 가스(예를 들어, 디클로로실란, Cl2SiH2)를 사용하여 SiGe 물질로서 에피택셜 성장된다. 제1 규소 게르마늄 물질은, 예를 들어, 위에서 설명된 방법(100)의 예에 의해 증착되거나 성장된다. 제2 규소 공급원 가스(예를 들어, 디클로로실란)는 제2 캐리어 가스(예를 들어, H2 및/또는 N2), 제2 게르마늄 공급원 가스(예를 들어, GeH4), 제2 도펀트 공급원 가스(예를 들어, B2H6) 및 제2 식각제 가스(예를 들어, HCl)와 함께 기판 처리 챔버 내로 동시에 유동된다. 디클로로실란의 유량은 약 5 sccm 내지 약 500 sccm, 예컨대, 약 10 sccm 내지 약 100 sccm, 예컨대, 약 20 sccm 내지 약 50 sccm 범위에 있다. 제2 캐리어 가스의 유량은 약 1,000 sccm 내지 약 60,000 sccm, 예컨대, 약 10,000 sccm 내지 약 20,000 sccm, 예컨대, 약 12,000 sccm 내지 약 15,000 sccm이다. 제2 게르마늄 공급원 가스의 유량은 약 0.1 sccm 내지 약 100 sccm, 예컨대, 약 0.1 sccm 내지 약 10 sccm 또는 약 0.5 sccm 내지 약 20 sccm, 예컨대, 약 0.5 sccm 내지 약 2 sccm, 예를 들어, 약 1 sccm의 범위에 있다. 제2 도펀트 공급원 가스의 유량은 약 0.01 sccm 내지 약 3 sccm, 예컨대, 약 0.1 sccm 내지 약 2 sccm, 예를 들어, 약 0.5 sccm 내지 약 1 sccm이다. 제2 식각제 가스의 유량은 약 5 sccm 내지 약 1,000 sccm, 예컨대, 약 10 sccm 내지 약 50 sccm, 예를 들어, 약 20 sccm 내지 약 40 sccm의 범위에 있다. 기판 처리 챔버는 약 0.1 Torr 내지 약 200 Torr, 예컨대, 약 5 Torr 내지 약 20 Torr, 예를 들어, 약 10 Torr 내지 약 15 Torr의 압력으로 유지된다. 기판은 약 450 ℃ 이하, 예컨대, 약 400 ℃ 이하, 예컨대, 약 350 ℃ 이하, 예컨대, 약 300 ℃ 이하의 온도로 유지된다. 예를 들어, 기판은 약 250 ℃ 내지 약 450 ℃, 예컨대, 약 270 ℃ 내지 약 450 ℃의 온도로 유지(또는 그러한 온도로 가열되고 유지)될 수 있다. 제2 공급원 가스 혼합물의 반응은 열적으로 구동되고, 이는 제2 규소 물질, 즉, 제2 규소 게르마늄 물질을 기판의 제1 SiGe 물질 및 기판의 유전체 피쳐들 상에 에피택셜 증착시키기 위해, 가열된 기판 표면에서 반응한다. 제2 식각제는 기판의 표면 상의 비정질 또는 다결정질 유전체 피쳐들로부터 SiGe 화합물들을 식각하지만, 제1 SiGe 물질의 표면 상에 형성된 에피택셜 층을 상당히 식각하지는 않는다.
프로세스는 제1 SiGe 물질의 표면 상에 약 20 Å 내지 약 3,000 Å(예컨대, 약 50 Å 내지 약 1000 Å, 예를 들어, 약 50 Å 내지 약 100 Å) 범위의 두께를 갖는 제2 SiGe 물질을 약 5 Å/분 내지 약 600 Å/분(예컨대, 약 5 Å/분 내지 약 50 Å/분, 예를 들어, 약 10 Å/분 내지 약 30 Å/분)의 증착 속도로 선택적으로 형성하도록 수행된다. 증착된 SiGe 물질의 게르마늄 농도는 약 1 원자% 내지 약 100 원자% 물질(예컨대, 약 10 원자% 내지 약 100 원자%, 예컨대, 약 10 원자% 내지 약 90 원자%, 예컨대, 약 40 원자% 내지 약 70 원자%, 예를 들어, 약 60 원자%) 범위에 있다. 다른 실시예에서, 제3 규소 함유 층은 위에서 논의된 프로세스들 중 임의의 프로세스를 사용하여 증착된다.
일부 실시예들에서, 기판 표면은, 프로세스 작동들 간에, 주변 조건들, 예컨대, 산소 및/또는 수증기를 내부에 포함하는 공기에 노출된다. 주변 노출은 일반적으로, 디바이스들의 제조 동안 다수의 프로세스 챔버들 사이에서 기판들을 이동시키는 동안 발생한다. 제1 규소 함유 층이 기판 표면 상에 증착되고, 기판은 주변 조건들에 노출되며, 후속하여, 제2 규소 함유 층이 기판 표면 상에 증착된다. 일 양상에서, 주위 조건들에 대한 층의 노출 이전에 캡 층이 제1 규소 함유 층 상에 증착된다. 캡 층은 반도체 물질, 예컨대, 규소일 수 있다. 예를 들어, 규소 게르마늄 층이 기판 표면 상에 증착되고, 규소 캡 층이 방금 성장된 또는 증착된 규소 게르마늄 층 상에 증착되고, 기판은 주변 조건들에 노출되고, 후속하여, 제2 규소 함유 층이 규소 캡 층 상에 증착된다. Si 캡 층은 B-도핑된 SiGe 층을 산화로부터 보호하기 위해, B-도핑된 SiGe 층의 최상부 상에 배치될 수 있다. Si 캡 표면은 산화규소를 형성하기 위해 그의 산화에 의해 제거될 수 있고, 다음 에피 층이 선택적으로 형성되기 전에 제거될 필요가 있다면, 그의 선택적 식각이 후속된다.
일부 실시예들에서, 규소 함유 물질은 약 0 원자% 내지 약 95 원자% 범위 내의 게르마늄 농도를 포함한다. 다른 실시예들에서, 게르마늄 농도는 약 1 원자% 내지 약 30 원자%, 예컨대, 약 10 원자% 내지 약 25 원자%, 예컨대, 약 20 원자%의 범위 내에 있다.
일부 실시예들에서, 단계적인 막들을 성장시키는 동안 규소, 게르마늄, 및 도펀트의 원소 농도들의 제어를 제공하기 위해 규소 공급원 가스 및 게르마늄 공급원 가스의 비율들이 변화될 수 있다.
본원에 설명된 프로세스들은, 예를 들어, FinFET들, 전통적인 평면 MOSFET들 및 쌍극 트랜지스터들에 규소 함유 화합물 층들을 증착시킬 때 유용하다.
도 2는 FinFET 반도체 구조(250)의 사시도를 도시하며, 이의 피쳐들은 본원에 설명된 실시예들 중 하나에 따라 규소 함유 화합물로 에피택셜 성장될 수 있다. 반도체 구조(250)는 기판(251), 복수의 핀들(252)(단 2개만 도시되지만, 구조는 2개 초과의 핀들을 가질 수 있음), 기판(251) 상의 인접한 핀들(252) 사이에 배치된 유전체 물질(254), 및 유전체 물질(254) 상에 그리고 각각의 핀(252)의 부분 위에 배치된 게이트 전극(260)을 포함할 수 있다. 기판(251)은 벌크 규소 기판일 수 있고, p형 또는 n형 불순물로 도핑될 수 있다. 복수의 핀들(252)은 기판(251)과 동일한 물질로 제조될 수 있다. 유전체 물질(254)은 격리 영역들, 예컨대, 얕은 트렌치 격리(STI) 영역들을 형성할 수 있고, 산화규소, 질화규소, 산질화규소, 탄질화규소, 또는 임의의 다른 적합한 유전체 물질로 제조될 수 있다. 도 2에 도시된 바와 같이, 복수의 핀들(252) 각각은 유전체 물질(254)의 상부 표면 위로 소정 거리만큼 연장된다. 게이트 유전체(258)가 게이트 전극(260)과 복수의 핀들(252) 사이에 형성된다. 게이트 유전체(258)는 게이트 전극(260)과 복수의 핀들(252) 사이의 전기적 격리를 용이하게 한다. 게이트 유전체(258)는 질화규소, 산화규소, 산화하프늄, 하프늄 규소 산질화물, 규산하프늄, 하프늄 규소 산화물, 또는 임의의 다른 적합한 게이트 유전체 물질로 제조될 수 있다. 게이트 전극(260)은 폴리실리콘, 비정질 규소, 게르마늄, 규소 게르마늄, 금속들, 또는 금속 합금들로 제조될 수 있다.
도 3a는 일부 실시예들에 따른 전통적인 평면 MOSFET에 대한 단면을 도시한다. 더미 게이트(336)의 양쪽 측들 상에 스페이서(334)를 형성한 후에, 함몰부(332)를 형성하기 위해, 기판의 또는 핀의 부분이 식각되고 기판의 습식 세정이 후속되며, 이 함몰부 내에서, 본원에 설명된 프로세스들에 따라 그리고 소스/드레인으로서의 사용을 위해 규소 함유 화합물이 에피택셜 증착된다. 규소 함유 화합물은 노출된 기판 또는 핀 표면의 결정 격자를 모방하도록 에피택셜 성장하고, 규소 함유 화합물이 소정 두께로 성장할 때 이러한 배열을 유지한다. 이 소스 드레인 형성에 후속하여, 그리고 몇몇 중간 단계들 이후에, 더미 게이트(336)는 결국 실제 금속 게이트 전극으로 대체된다.
에피택셜 규소 화합물 층(332)은 본원에 설명된 실시예들에 따라 소스/드레인 영역 내에 선택적으로 증착된다. 선택적 규소 함유 막 성장은, 기판 표면(330)이, 거기에 노출된 하나 초과의 물질, 예컨대, 노출된 단결정질 규소 표면 영역들, 및 유전체 물질들, 예컨대, SiO 및 SiN 층들로 커버되는 피쳐들을 가질 때 수행될 수 있다. 규소 화합물 층(332)은, 예를 들어, 도 3에 도시된 디바이스에서 게이트의 양 측에 위치되고, 예를 들어, 약 1 원자% 내지 약 30 원자%, 예컨대, 약 20 원자%의 게르마늄 농도 및, 예를 들어, 약 1x1015 원자/㎤ 내지 약 5x1021 원자/㎤(예컨대, 약 5x1019 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1018 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1020 원자/㎤ 내지 약 2.5x1021 원자/㎤ 범위)의 도펀트 농도(예를 들어, B 또는 P)를 갖는 도핑된 SiGe 함유 층들로 구성된다.
일부 실시예들에서, B-도핑된 SiGe 층(340)은, 본원에 설명된 프로세스들을 사용하여, 콘택 층을 형성하기 위해, 약 450 ℃ 미만 정도의 낮은 온도에서 기존의 B-도핑된 SiGe 소스/드레인(332)의 최상부 상에 형성될 수 있다. 이 콘택 층은 B-도핑된 SiGe 소스/드레인과 금속 전극 사이의 쇼트키 장벽을 감소시키고, 더 낮은 콘택 비저항을 제공한다. 이 실시예에서, 기존의 B-도핑된 SiGe 소스/드레인은 본원에 설명된 동일한 프로세스에 의해, 또는 다른 방법들, 예컨대, 더 고온의 에피택시(예를 들어, 약 500 ℃ 초과, 예컨대, 약 600 ℃ 내지 약 700 ℃의 온도들)에 의해 만들어질 수 있다.
도 3b는 일부 실시예들에 따른 FinFET(350)에 대한 단면을 도시한다. 에피택셜 규소 화합물 층(366)이 각각의 핀(354)의 표면(352) 상에 증착되고 유전체 물질(254)의 상부 표면(351) 위로 연장된다(유전체 물질(254)은 또한, 도 2에 도시되어 있다). 규소 화합물 층(366)은 또한, 유전체 물질(358)의 표면(362) 상에 증착될 수 있고, 유전체 물질(358)의 표면(362) 상에 증착된 규소 화합물 층(366)을 제거하기 위해 에치 백 프로세스가 수행될 수 있다. 규소 화합물 층(366)은 FinFET 디바이스의 소스 또는 드레인일 수 있고, 규소 및/또는 게르마늄 기재의 물질일 수 있다. 규소 화합물 층(366)은 어플라이드 머티어리얼스, 인코포레이티드(Applied Materials, Inc.)로부터 입수가능한 에피택셜 증착 챔버에서 본원에 설명된 에피택셜 증착 프로세스에 의해 형성될 수 있다. 일 실시예에서, 규소 화합물 층(366)은 인으로 도핑된 규소이고 FinFET 디바이스는 n형 FET이다. 다른 실시예에서, 규소 화합물 층(366)은 붕소 또는 갈륨으로 도핑된 규소 게르마늄이고, FinFET 디바이스는 p형 FET이다. 각각의 규소 화합물 층(366)은 유전체 물질(358)의 표면(362)으로부터 함몰된 표면(363)을 갖는다.
에피택셜 규소 화합물 층(366)은 본원에 설명된 실시예들에 따라 소스/드레인 영역 내에 선택적으로 증착된다. 선택적 규소 함유 막 성장은, 기판 표면이, 거기에 노출된 하나 초과의 물질, 예컨대, 노출된 단결정질 규소 표면 영역들, 및 유전체 물질들, 예컨대, SiO 및 SiN 층들로 커버되는 피쳐들을 가질 때 수행될 수 있다. 규소 화합물 층(366)은, 예를 들어, 도 3b에 도시된 디바이스에서 게이트의 양 측에 위치되고, 예를 들어, 약 1 원자% 내지 약 30 원자%, 예컨대, 약 20 원자%의 게르마늄 농도 및, 예를 들어, 약 1x1015 원자/㎤ 내지 약 5x1021 원자/㎤(예컨대, 약 5x1019 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1018 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1020 원자/㎤ 내지 약 2.5x1021 원자/㎤ 범위)의 도펀트 농도(예를 들어, B 또는 P)를 갖는 도핑된 SiGe 함유 층들로 구성된다.
도시되지는 않았지만, 기판에 대해 추가의 작동들이 수행될 수 있다. 예를 들어, 금속 층이 기판의 피쳐들(예를 들어, 규소 함유 단결정 표면, 예컨대, 기판의 소스 및 드레인 영역들) 위에 증착될 수 있고, 그 후에, 기판 및 기판 상에 형성된 층들이 어닐링된다. 금속 층은 다른 금속들 중에서, 코발트, 니켈 또는 티타늄을 포함한다. 어닐링 프로세스 동안, 규소 화합물 층은 금속 실리사이드 층들로 변환된다. 예를 들어, 금속(예를 들어, 코발트)이 금속 층으로서 증착될 때, 결과적인 금속 실리사이드 층은 규화코발트이다.
본원에 설명된 프로세스들은 쌍극(예를 들어, 베이스, 이미터, 콜렉터, 이미터 콘택), BiCMOS(예를 들어, 베이스, 이미터, 콜렉터, 이미터 콘택) 및 전통적인 평면 또는 FinFET CMOS(예를 들어, 채널, 소스/드레인, 소스/드레인 연장부, 상승된 소스/드레인, 기판, 변형 규소, 절연체상 규소 및 콘택 플러그)에 사용되는 규소 화합물 막들을 증착시키기 위해 사용될 수 있다. 프로세스들의 다른 실시예들은 게이트, 베이스 콘택, 콜렉터 콘택, 이미터 콘택, 상승된 소스/드레인, 및 다른 용도들로서 사용될 수 있는 규소 막들의 성장을 교시한다. 다른 디바이스들은 전계 효과 트랜지스터들(FET)을 포함한다.
본 개시내용의 프로세스들에서, 규소 함유 화합물들(예를 들어, 막들, 층들, 및 물질들)은 화학 기상 증착(CVD) 프로세스들에 의해 성장되거나 증착되고, 여기서 CVD 프로세스들은 원자 층 증착(ALD) 프로세스들 및/또는 원자 층 에피택시(ALE) 프로세스들을 포함한다. 화학 기상 증착은 많은 기법들, 예컨대, 플라즈마 지원 CVD(PA-CVD), 원자 층 CVD(ALCVD), 유기금속 또는 금속유기 CVD(OMCVD 또는 MOCVD), 레이저 지원 CVD(LA-CVD), 자외선 CVD(UV-CVD), 열선 CVD(HWCVD), 감압 CVD(RP-CVD), 및 초고진공 CVD(UHV-CVD)의 사용을 포함한다. 본 개시내용의 프로세스들은 ALE, CVD 및 ALD 처리의 관련 기술분야에 알려진 장비에서 수행될 수 있다. 장치는 공급원 가스(들)를 규소 함유 화합물들이 성장되는 기판과 접촉하게 한다. 본원에 설명된 규소 함유 화합물들을 성장시키는 데 사용될 수 있는 예시적인 에피택시 챔버는 캘리포니아주 산타 클라라 소재의 어플라이드 머티어리얼스, 인코포레이티드로부터 입수가능한 센츄라®(Centura®) RP EPI 챔버이다. 하나의 예시적인 에피택시 챔버가 도 4에 도시되고 아래에 설명된다.
도 4는 본원에 설명된 에피택셜 프로세스들을 수행하는 데 사용될 수 있는 열 처리 챔버(400)의 단면도이다. 처리 챔버(400)는 챔버 몸체(402), 지원 시스템들(404), 및 제어기(406)를 포함한다. 챔버 몸체(402)는 상부 부분(412) 및 하부 부분(414)을 포함한다. 상부 부분(412)은 상부 돔(416)과 기판(410) 사이의 챔버 몸체(402) 내에 영역을 포함한다. 하부 부분(414)은 하부 돔(430)과 기판(410)의 바닥 사이의 챔버 몸체(402) 내에 영역을 포함한다. 증착 프로세스들은 일반적으로, 상부 부분(412) 내의 그리고 상부 부분에 노출된, 기판(410)의 상부 표면 상에서 일어난다.
지원 시스템(404)은 본원에 설명된 바와 같이 미리 결정된 프로세스들, 예컨대, 박막들의 성장 또는 증착을 처리 챔버(400)에서 실행하고 모니터링하는 데에 사용되는 구성요소들을 포함한다. 제어기(406)는 지원 시스템(404)에 결합되고, 처리 챔버(400) 및 지원 시스템(404)을 제어하도록 적응된다. 제어기(406)는 중앙 처리 유닛(CPU), 메모리 및 지원 회로들을 포함한다.
처리 챔버(400)는, 기판 처리 챔버(400) 내에 위치된 구성요소들에게 열 에너지를 제공하도록 적응된 복수의 열 공급원들, 예컨대, 램프들(435)을 포함한다. 예를 들어, 램프들(435)은 기판(410), 기판을 처리 챔버(400)에 지지하기 위한 서셉터(426), 및/또는 예열 링(423)에 열 에너지를 제공하도록 적응될 수 있다. 하부 돔(430)은, 하부 돔을 통한 열 방사선의 통과를 용이하게 하기 위해, 광학적으로 투명한 물질, 예컨대, 석영으로 형성될 수 있다. 램프들(435)이 하부 돔(430)을 통해서뿐만 아니라 상부 돔(416)을 통해서도 열 에너지를 제공하도록 위치될 수 있다는 것이 고려된다.
챔버 몸체(402)는 챔버 몸체에 형성된 복수의 플레넘들을 포함한다. 플레넘들은 하나 이상의 가스 공급원(476), 예컨대, 캐리어 가스, 및 하나 이상의 전구체 공급원(478), 예컨대, 프로세스 가스들(예를 들어, 증착 가스들 및 도펀트 공급원 가스들)과 유체 연통한다. 예를 들어, 제1 플레넘(420)이 제1 플레넘을 통해 챔버 몸체(402)의 상부 부분(412) 내에 증착 가스(450)를 제공하도록 적응될 수 있는 반면, 제2 플래넘(421)은 증착 가스(450)를 상부 부분(412)으로부터 배기하도록 적응될 수 있다. 그러한 방식으로, 증착 가스(450)는 기판(410)의 상부 표면에 평행하게 유동할 수 있다.
액체 전구체(예를 들어, 테트라실란)가 사용되는 경우들에서, 열 처리 챔버(400)는 액체 전구체 공급원(480)과 유체 연통하는 액체 기화기(482)를 포함할 수 있다. 액체 기화기(482)는 열 처리 챔버(400)에 전달되는 액체 전구체들을 기화시키는 데에 사용된다. 도시되지 않았지만, 액체 전구체 공급원(480)이, 예를 들어, 전구체 액체 및 용매 액체의 하나 이상의 앰풀, 차단 밸브, 및 액체 유량계(LFM)를 포함할 수 있다는 것이 고려된다. 액체 기화기에 대한 대안으로서, 액체 전구체(들)를 챔버에 전달하기 위해 발포기가 사용될 수 있다. 그러한 경우들에서, 액체 전구체의 앰풀은 발포기를 통해 챔버의 프로세스 체적에 연결된다.
기판 지지 조립체(432)는 챔버 몸체(402)의 하부 부분(414)에 위치된다. 기판 지지 조립체(432)는 기판(410)을 처리 위치에 지지하는 것으로 예시된다. 기판 지지 조립체(432)는, 광학적으로 투명한 물질로 형성된 서셉터 지지부(427) 및 서셉터 지지부(427)에 의해 지지되는 서셉터(426)를 포함한다. 지지 핀들(437)이 서셉터 지지부(427)를 서셉터(426)에 결합시킨다. 서셉터 지지부(427)의 샤프트(460)는, 리프트 핀 접촉부들(442)이 결합되는 슈라우드(431) 내에 위치된다. 서셉터 지지부(427)는 처리 동안 그의 중심을 중심으로 한 기판(410)의 회전을 용이하게 하기 위해 회전가능하다. 서셉터 지지부(427)의 회전은 모터, 또는 벨트 및 모터(도시되지 않음)에 의해 용이하게 된다. 액추에이터(429)가 서셉터 지지부(427)에 결합되고 지지부를 상승 및 하강시키기 위해 샤프트를 상승 및 후퇴시키는 데 사용된다. 슈라우드(431)는 일반적으로 제 위치에 고정되고, 그러므로, 처리 동안 회전하지 않는다.
리프트 핀들(433)은 서셉터 지지부(427)에 형성된 개구부들(표지되지 않음)을 통해 배치된다. 리프트 핀들(433)은 이동가능한 리프트 핀 콘택들(442)과의 접촉에 의해 수직으로 작동가능하고, 기판(410)을 처리 위치(도시된 바와 같음)로부터 기판 제거 위치까지 들어 올리기 위해 기판(410)의 하부측과 접촉하고, 로딩 위치로부터 서셉터(426) 상의 처리 위치로 새로 로딩된 기판을 지지하도록 적응된다. 리프트 핀 콘택들(442)이 상하로 이동하는 것, 또는 지지부가 상하로 이동할 때 리프트 핀 콘택들의 고정적인 위치설정은 리프트 핀들(433)의 바닥들이 리프트 핀 콘택들(442)과 접촉하게 하고, 이로써 이들은 지지부가 하방으로 계속 이동하는 동안 하방으로 이동하는 것을 멈춘다. 예열 링(423)은, 챔버 몸체(402)에 결합된 하부 라이너(440) 상에 제거가능하게 배치된다. 예열 링(423)은 챔버 몸체(402)의 내부 체적 주위에 배치되고, 기판(410)이 처리 위치에 있는 동안 기판(410)을 에워싼다. 예열 링(423)은, 예열 링(423)에 인접한 플레넘(420)을 통해 프로세스 가스가 챔버 몸체(402)에 진입할 때 프로세스 가스의 예열을 용이하게 하고, 챔버의 상부 및 하부 체적들 사이의 개구부의 크기를 감소시킨다.
상부 돔(416)의 중앙 윈도우 부분(415) 및 하부 돔(430)의 바닥 부분(417)은 광학적으로 투명한 물질, 예컨대, 석영으로 형성된다.
본원에서 설명된 프로세스들은, 약 400 ℃ 이하, 예컨대, 약 350 ℃ 이하의 온도들에서 결정질 규소 표면들 상에 증착시키기 위해 거의 완전한 선택성으로, 낮은 온도들(예를 들어, 약 450 ℃ 이하)에서, 기판 표면 또는 이전에 기판 표면 상에 형성된 층의 표면(예를 들어, 물질들, 막들, 및/또는 층들) 상에 규소 게르마늄 화합물들의 선택적 성장을 허용한다. 프로세스들은 유리하게, 증착된 Si:Ge 층에 1x1015 원자/㎤ 내지 약 5x1021 원자/㎤(예컨대, 약 5x1019 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1018 원자/㎤ 내지 약 5x1021 원자/㎤, 또는 약 1x1020 원자/㎤ 내지 약 2.5x1021 원자/㎤ 범위)의 붕소 농도들을 제공한다. 또한, 도펀트, 예컨대, 붕소의 사용은 유리하게, 낮은 온도들에서 에피택셜 규소 게르마늄 막들의 성장을 허용한다.
더 낮은 온도들에서의 규소 게르마늄 에피택셜 성장 또는 증착 프로세스에서 폴리실리콘 또는 비정질 층들보다 결정질 층들에 대한 더 큰 증착 또는 성장 선택성을 달성하기 위해, 고차 게르만들 또는 할로겐화 게르만들, 예컨대, 디게르만이 게르마늄 공급원으로서 사용되어야 한다는 것을 발견했다. 예를 들어, 게르마늄 함유 층들은 대략 300 ℃ 까지의 온도들에서 디게르만을 사용하여 형성될 수 있다. 규소 공급원들, 예컨대, 디실란 또는 더 저차의 실란들, 예컨대, 실란 및 디클로로실란이 또한, 더 고차의 게르만 전구체와 조합하여 SiGe 물질 층을 증착시키기 위해 사용될 수 있다. 이러한 더 저차의 실란들은 단독으로 사용되는 경우에 400 ℃ 미만의 온도들에서 규소 함유 층들을 성장시키거나 증착시키지 않지만, 더 고차의 게르만들, 예컨대, 디게르만과 조합될 때 게르마늄 증착 또는 성장과 함께 규소 함유 층들을 성장시키거나 증착시키는 데 사용될 수 있다. 더 고차의 게르만들에 의한 게르마늄 성장은, 일단 조정되면, 유전체들, 예를 들어, 규소 기재의 유전체들 상의 그의 성장 또는 증착에 대해 선택적일 수 있고, 더 저차의 실란들은 낮은 온도들에서 이러한 규소 기재의 유전체들 상에 규소를 성장시키지 않기 때문에, 규소 및 게르마늄 증착(예컨대, 디게르만 및 디실란) 프로세스는 낮은 온도들에서의 선택적 규소 게르마늄 프로세스가 된다. 실란으로부터의 규소는 약 400 ℃ 미만에서 규소 물질, 유전체 물질, 또는 양쪽 모두 상에서 개시되지 않지만, Ge의 존재 시에 그러한 온도 미만에서 규소, 유전체, 또는 양쪽 모두 상에서 개시될 수 있으나, 기판의 비결정질 표면들 상에서 개시될 수 있는 그 Si:Ge 증착을 제거하기 위해, 증착 공급원 가스들과 함께 식각제가 사용될 수 있다. 게르만 전구체의 게르마늄은 400 ℃ 미만의 온도들에서 실란 반응이 가능해지도록 규소 기판을 활성화할 수 있다.
증착 또는 성장 선택성을 더 개선하기 위해, 식각제들이 규소 및 게르마늄 공급원들과 공동 유동될 수 있다. 식각제들은 염화수소에 제한되지 않으며, 분자들에 할로겐, 게르마늄, 및/또는 규소를 함유할 수 있다. 증착된 물질들의 인 시튜 도핑은, 도펀트 함유 종들, 예컨대, 디보란(p형의 경우) 및 포스핀(n형의 경우)을 규소 공급원들 및 게르마늄 공급원들과 공동 유동시킴으로써 동시에 달성될 수 있다.
컴퓨터 시스템은 비일시적 컴퓨터 판독가능 매체에 제공되는 명령어들을 수행할 수 있다. 비일시적 컴퓨터 판독가능 매체는 본원에 설명된 방법들을 수행하기 위한 명령어들을 포함할 수 있다. 대안적으로, 본원에 설명된 방법들을 수행하기 위한 명령어들은 비일시적 컴퓨터 판독가능 매체에 추가될 수 있다. 비일시적 컴퓨터 판독가능 매체는 컴퓨터 시스템으로 하여금, 본원에 설명된 프로세스들을 수행하기 위해 기판 처리 장치를 제어하게 하는 명령어들을 포함할 수 있다. 기판 처리 챔버는 기판 처리 장치의 일부일 수 있다. 컴퓨터 시스템은 기판 처리 챔버 중 하나 이상에, 공급원 가스들, 도펀트 가스들, 식각제 가스들을 조절하는 밸브들에, 그리고 기판 처리 장치의 다양한 구성요소들의 온도 및 압력을 조절하는 스위치들에 연결될 수 있다.
본 개시내용은, 특히, 다음의 실시예들을 제공하고, 그 실시예들 각각은 임의의 대안적인 실시예들을 선택적으로 포함하는 것으로 간주될 수 있다:
조항 1. 기판 상에 규소 게르마늄 물질을 증착시키는 방법으로서, 방법은 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -; 기판을 약 450 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르마늄 공급원 가스, 식각제 가스, 캐리어 가스 및 적어도 하나의 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 제1 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계를 포함한다.
조항 2. 조항 1의 방법에서, 도펀트 공급원 가스는 붕소 함유 도펀트 공급원 가스, 인 함유 도펀트 공급원 가스, 또는 비소 함유 도펀트 공급원 가스이다.
조항 3. 조항 2의 방법에서, 붕소 함유 도펀트 공급원 가스는 디보란이다.
조항 4. 조항 1 내지 3 중 어느 한 조항의 방법에서, 식각제 가스는 HCl, HF, Cl2, HBr, Br2, SiCl4, SiHCl3, SiH2Cl2, GeCl4, 및 GeHCl3 중 하나 이상이다.
조항 5. 조항 1 내지 4 중 어느 한 조항의 방법에서, 규소 게르마늄 물질은 도핑된 SiGe 물질에 약 1x1015 원자/㎤ 내지 약 5x1021 원자/㎤의 붕소 농도를 갖는다.
조항 6. 조항 1 내지 5 중 어느 한 조항의 방법에서, 기판은 약 400 ℃ 이하의 온도로 가열된다.
조항 7. 조항 1 내지 6 중 어느 한 조항의 방법에서, 프로세스 가스는: 약 5 sccm 내지 약 500 sccm의 유량의 규소 공급원 가스; 약 0.1 sccm 내지 약 100 sccm의 유량의 게르마늄 공급원 가스; 약 1,000 sccm 내지 약 60,000 sccm의 유량의 캐리어 가스; 및 약 0.01 sccm 내지 약 3 sccm의 유량의 도펀트 공급원 가스를 포함한다.
조항 8. 조항 1 내지 7 중 어느 한 조항의 방법에서, 제2 규소 공급원 가스 및 제2 게르마늄 공급원 가스를 포함하는 제2 프로세스 가스에 기판을 노출시키는 단계; 및 기판 상에 제2 규소 게르마늄 물질을 에피택셜 및 선택적으로 증착시키는 단계를 더 포함한다.
조항 9. 조항 1 내지 8 중 어느 한 조항의 방법에서, 기판 처리 챔버는 약 0.1 Torr 내지 약 200 Torr의 압력으로 가압된다.
조항 10. 기판 상에 규소 게르마늄 물질을 증착시키는 방법으로서, 방법은 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 게르마늄 단결정을 가짐 -; 기판을 약 450 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르마늄 공급원 가스, 식각제 가스, 캐리어 가스, 및 붕소 함유 도펀트 공급원 가스 또는 인 함유 도펀트 공급원 가스를 포함하는 적어도 하나의 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계 - 규소 게르마늄 물질은 0.3 mΩ·cm의 비저항을 가짐 - 를 포함한다.
조항 11. 조항 10의 방법에서, 붕소 함유 도펀트 공급원 가스는 디보란이다.
조항 12. 조항 10 또는 11의 방법에서, 기판은 약 400 ℃ 이하의 온도로 가열된다.
조항 13. 조항 10 내지 12 중 어느 한 조항의 방법에서, 규소 공급원 가스는 실란, 디클로로실란, 또는 디실란이다.
조항 14. 조항 10 내지 13 중 어느 한 조항의 방법에서, 게르마늄 공급원 가스는 디게르만, 트리게르만, 테트라게르만, GeCl4, 또는 GeHCl3이다.
조항 15. 조항 10 내지 14 중 어느 한 조항의 방법에서, 프로세스 가스는: 약 5 sccm 내지 약 500 sccm의 유량의 규소 공급원 가스; 약 0.1 sccm 내지 약 100 sccm의 유량의 게르마늄 공급원 가스; 약 1,000 sccm 내지 약 60,000 sccm의 유량의 캐리어 가스; 및 약 0.01 sccm 내지 약 3 sccm의 유량의 도펀트 공급원 가스를 포함한다.
조항 16. 조항 10 내지 15 중 어느 한 조항의 방법에서, 기판 처리 챔버는 약 0.1 Torr 내지 약 200 Torr의 압력으로 가압된다.
조항 17. 기판 상에 규소 게르마늄 물질을 증착시키는 방법으로서, 방법은 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -; 기판을 400 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르만 또는 디게르만을 포함하는 게르마늄 공급원 가스, HCl, HF, Cl2, HBr, Br2, SiCl4, SiHCl3, SiH2Cl2, GeCl4, 및 GeHCl3 중 하나 이상을 포함하는 식각제 가스, 캐리어 가스 및 디보란을 포함하는 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 제1 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계를 포함한다.
조항 18. 조항 17의 방법에서, 규소 공급원 가스는 실란, 디클로로실란, 또는 디실란이다.
조항 19. 조항 17 또는 18의 방법에서, 프로세스 가스는: 약 5 sccm 내지 약 500 sccm의 유량의 규소 공급원 가스; 약 0.1 sccm 내지 약 100 sccm의 유량의 게르마늄 공급원 가스; 약 1,000 sccm 내지 약 60,000 sccm의 유량의 캐리어 가스; 및 약 0.01 sccm 내지 약 3 sccm의 유량의 도펀트 공급원 가스를 포함한다.
조항 20. 조항 17 내지 19 중 어느 한 조항의 방법에서, 기판 처리 챔버는 약 0.1 Torr 내지 약 200 Torr의 압력으로 가압된다.
조항 21. 컴퓨터 시스템으로 하여금 프로세스를 수행하기 위해 기판 처리 장치를 제어하게 하는 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체로서, 프로세스는: 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -; 기판을 약 450 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르마늄 공급원 가스, 식각제 가스, 캐리어 가스 및 적어도 하나의 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 제1 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계를 포함한다.
조항 22. 조항 21의 비일시적 컴퓨터 판독가능 매체에서, 도펀트 공급원 가스는 붕소 함유 도펀트 공급원 가스, 인 함유 도펀트 공급원 가스, 또는 비소 함유 도펀트 공급원 가스이다.
조항 23. 조항 22의 비일시적 컴퓨터 판독가능 매체에서, 붕소 함유 도펀트 공급원 가스는 디보란이다.
조항 24. 조항 21 내지 23 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 식각제 가스는 HCl, HF, Cl2, HBr, Br2, SiCl4, SiHCl3, SiH2Cl2, GeCl4, 및 GeHCl3 중 하나 이상이다.
조항 25. 조항 21 내지 24 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 규소 게르마늄 물질은 도핑된 SiGe 물질에 약 1x1015 원자/㎤ 내지 약 5x1021 원자/㎤의 붕소 농도를 갖는다.
조항 26. 조항 21 내지 25 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 기판은 약 400 ℃ 이하의 온도로 가열된다.
조항 27. 조항 21 내지 26 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 프로세스 가스는: 약 5 sccm 내지 약 500 sccm의 유량의 규소 공급원 가스; 약 0.1 sccm 내지 약 100 sccm의 유량의 게르마늄 공급원 가스; 약 1,000 sccm 내지 약 60,000 sccm의 유량의 캐리어 가스; 및 약 0.01 sccm 내지 약 3 sccm의 유량의 도펀트 공급원 가스를 포함한다.
조항 28. 조항 21 내지 27 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 제2 규소 공급원 가스 및 제2 게르마늄 공급원 가스를 포함하는 제2 프로세스 가스에 기판을 노출시키는 단계; 및 기판 상에 제2 규소 게르마늄 물질을 에피택셜 및 선택적으로 증착시키는 단계를 더 포함한다.
조항 29. 조항 21 내지 28 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 기판 처리 챔버는 약 0.1 Torr 내지 약 200 Torr의 압력으로 가압된다.
조항 30. 컴퓨터 시스템으로 하여금 프로세스를 수행하기 위해 기판 처리 장치를 제어하게 하는 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체로서, 프로세스는: 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 게르마늄 단결정을 가짐 -; 기판을 약 450 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르마늄 공급원 가스, 식각제 가스, 캐리어 가스, 및 붕소 함유 도펀트 공급원 가스 또는 인 함유 도펀트 공급원 가스를 포함하는 적어도 하나의 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계 - 규소 게르마늄 물질은 0.3 mΩ·cm의 비저항을 가짐 - 를 포함한다.
조항 31. 조항 30의 비일시적 컴퓨터 판독가능 매체에서, 붕소 함유 도펀트 공급원 가스는 디보란이다.
조항 32. 조항 30 또는 31의 비일시적 컴퓨터 판독가능 매체에서, 기판은 약 400 ℃ 이하의 온도로 가열된다.
조항 33. 조항 30 내지 32 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 규소 공급원 가스는 실란, 디클로로실란, 또는 디실란이다.
조항 34. 조항 30 내지 33 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 게르마늄 공급원 가스는 디게르만, 트리게르만, 테트라게르만, GeCl4, 또는 GeHCl3이다.
조항 35. 조항 30 내지 34 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 프로세스 가스는: 약 5 sccm 내지 약 500 sccm의 유량의 규소 공급원 가스; 약 0.1 sccm 내지 약 100 sccm의 유량의 게르마늄 공급원 가스; 약 1,000 sccm 내지 약 60,000 sccm의 유량의 캐리어 가스; 및 약 0.01 sccm 내지 약 3 sccm의 유량의 도펀트 공급원 가스를 포함한다.
조항 36. 조항 30 내지 35 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 기판 처리 챔버는 약 0.1 Torr 내지 약 200 Torr의 압력으로 가압된다.
조항 37. 컴퓨터 시스템으로 하여금 프로세스를 수행하기 위해 기판 처리 장치를 제어하게 하는 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체로서, 프로세스는: 기판을 기판 처리 챔버 내에 위치시키는 단계 - 기판은 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -; 기판을 400 ℃ 이하의 온도로 유지하는 단계; 규소 공급원 가스, 게르만 또는 디게르만을 포함하는 게르마늄 공급원 가스, HCl, HF, Cl2, HBr, Br2, SiCl4, SiHCl3, SiH2Cl2, GeCl4, 및 GeHCl3 중 하나 이상을 포함하는 식각제 가스, 캐리어 가스 및 디보란을 포함하는 도펀트 공급원 가스를 포함하는 프로세스 가스에 기판을 노출시키는 단계; 및 제1 규소 게르마늄 물질을 기판 상에 에피택셜 및 선택적으로 증착시키는 단계를 포함한다.
조항 38. 조항 37의 비일시적 컴퓨터 판독가능 매체에서, 규소 공급원 가스는 실란, 디클로로실란, 또는 디실란이다.
조항 39. 조항 37 또는 38의 비일시적 컴퓨터 판독가능 매체에서, 프로세스 가스는: 약 5 sccm 내지 약 500 sccm의 유량의 규소 공급원 가스; 약 0.1 sccm 내지 약 100 sccm의 유량의 게르마늄 공급원 가스; 약 1,000 sccm 내지 약 60,000 sccm의 유량의 캐리어 가스; 및 약 0.01 sccm 내지 약 3 sccm의 유량의 도펀트 공급원 가스를 포함한다.
조항 40. 조항 37 내지 39 중 어느 한 조항의 비일시적 컴퓨터 판독가능 매체에서, 기판 처리 챔버는 약 0.1 Torr 내지 약 200 Torr의 압력으로 가압된다.
전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 그리고 추가적인 실시예들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있으며, 그의 범위는 후속하는 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 상에 규소 게르마늄 물질을 증착시키는 방법으로서,
    상기 기판을 기판 처리 챔버 내에 위치시키는 단계 - 상기 기판은 상기 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -;
    상기 기판을 약 450 ℃ 이하의 온도로 유지하는 단계;
    상기 기판을 프로세스 가스에 노출시키는 단계 - 상기 프로세스 가스는:
    규소 공급원 가스,
    게르마늄 공급원 가스,
    식각제 가스,
    캐리어 가스, 및
    적어도 하나의 도펀트 공급원 가스를 포함함 -; 및
    상기 기판 상에 제1 규소 게르마늄 물질을 에피택셜 및 선택적으로 증착시키는 단계를 포함하는, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  2. 제1항에 있어서,
    상기 도펀트 공급원 가스는 붕소 함유 도펀트 공급원 가스, 인 함유 도펀트 공급원 가스, 또는 비소 함유 도펀트 공급원 가스인, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  3. 제2항에 있어서,
    상기 붕소 함유 도펀트 공급원 가스는 디보란인, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  4. 제1항에 있어서,
    상기 식각제 가스는 HCl, HF, Cl2, HBr, Br2, SiCl4, SiHCl3, SiH2Cl2, GeCl4, 및 GeHCl3 중 하나 이상인, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  5. 제1항에 있어서,
    상기 규소 게르마늄 물질은 도핑된 SiGe 물질에 약 1x1015 원자/㎤ 내지 약 5x1021 원자/㎤의 붕소 농도를 갖는, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  6. 제1항에 있어서,
    상기 기판은 약 400 ℃ 이하의 온도로 가열되는, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  7. 제1항에 있어서,
    상기 프로세스 가스는:
    약 5 sccm 내지 약 500 sccm의 유량의 상기 규소 공급원 가스;
    약 0.1 sccm 내지 약 100 sccm의 유량의 상기 게르마늄 공급원 가스;
    약 1,000 sccm 내지 약 60,000 sccm의 유량의 상기 캐리어 가스; 및
    약 0.01 sccm 내지 약 3 sccm의 유량의 상기 도펀트 공급원 가스를 포함하는, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  8. 제1항에 있어서,
    제2 규소 공급원 가스 및 제2 게르마늄 공급원 가스를 포함하는 제2 프로세스 가스에 상기 기판을 노출시키는 단계; 및
    상기 기판 상에 제2 규소 게르마늄 물질을 에피택셜 및 선택적으로 증착시키는 단계를 더 포함하는, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  9. 제1항에 있어서,
    상기 기판 처리 챔버는 약 0.1 Torr 내지 약 200 Torr의 압력으로 가압되는, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  10. 기판 상에 규소 게르마늄 물질을 증착시키는 방법으로서,
    상기 기판을 기판 처리 챔버 내에 위치시키는 단계 - 상기 기판은 상기 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -;
    상기 기판을 약 450 ℃ 이하의 온도로 유지하는 단계;
    상기 기판을 프로세스 가스에 노출시키는 단계 - 상기 프로세스 가스는:
    규소 공급원 가스,
    게르마늄 공급원 가스,
    식각제 가스,
    캐리어 가스, 및
    붕소 함유 도펀트 공급원 가스 또는 인 함유 도펀트 공급원 가스를 포함하는 적어도 하나의 도펀트 공급원 가스를 포함함 -; 및
    상기 기판 상에 규소 게르마늄 물질을 에피택셜 및 선택적으로 증착시키는 단계 - 상기 규소 게르마늄 물질은 0.3 mΩ·cm의 비저항을 가짐 - 를 포함하는, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  11. 제10항에 있어서,
    상기 기판은 약 400 ℃ 이하의 온도로 가열되는, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  12. 제10항에 있어서,
    상기 규소 공급원 가스는 실란, 디클로로실란, 또는 디실란인, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  13. 제10항에 있어서,
    상기 게르마늄 공급원 가스는 디게르만, 트리게르만, 테트라게르만, GeCl4, 또는 GeHCl3인, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  14. 제10항에 있어서,
    상기 프로세스 가스는:
    약 5 sccm 내지 약 500 sccm의 유량의 상기 규소 공급원 가스;
    약 0.1 sccm 내지 약 100 sccm의 유량의 상기 게르마늄 공급원 가스;
    약 1,000 sccm 내지 약 60,000 sccm의 유량의 상기 캐리어 가스; 및
    약 0.01 sccm 내지 약 3 sccm의 유량의 상기 도펀트 공급원 가스를 포함하는, 기판 상에 규소 게르마늄 물질을 증착시키는 방법.
  15. 컴퓨터 시스템으로 하여금 프로세스를 수행하기 위해 기판 처리 장치를 제어하게 하는 명령어들을 포함하는 비일시적 컴퓨터 판독가능 매체로서,
    상기 프로세스는:
    상기 기판을 기판 처리 챔버 내에 위치시키는 단계 - 상기 기판은 상기 기판 상에 유전체 물질 및 규소 함유 단결정을 가짐 -; 상기 기판을 약 450 ℃ 이하의 온도로 유지하는 단계;
    상기 기판을 프로세스 가스에 노출시키는 단계 - 상기 프로세스 가스는:
    규소 공급원 가스,
    게르마늄 공급원 가스,
    식각제 가스,
    캐리어 가스, 및
    적어도 하나의 도펀트 공급원 가스를 포함함 -;
    및 상기 기판 상에 제1 규소 게르마늄 물질을 에피택셜 및 선택적으로 증착시키는 단계를 포함하는, 비일시적 컴퓨터 판독가능 매체.
KR1020217006038A 2018-07-30 2019-07-15 낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법 KR102501287B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862711876P 2018-07-30 2018-07-30
US62/711,876 2018-07-30
PCT/US2019/041895 WO2020028028A1 (en) 2018-07-30 2019-07-15 Method of selective silicon germanium epitaxy at low temperatures

Publications (2)

Publication Number Publication Date
KR20210027511A true KR20210027511A (ko) 2021-03-10
KR102501287B1 KR102501287B1 (ko) 2023-02-21

Family

ID=69177479

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217006038A KR102501287B1 (ko) 2018-07-30 2019-07-15 낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법

Country Status (6)

Country Link
US (1) US11018003B2 (ko)
EP (1) EP3830860A4 (ko)
KR (1) KR102501287B1 (ko)
CN (1) CN110783171A (ko)
TW (1) TWI828731B (ko)
WO (1) WO2020028028A1 (ko)

Families Citing this family (185)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111525002B (zh) * 2020-06-15 2022-05-03 中国科学院微电子研究所 硅漂移探测器的制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
TW202248476A (zh) * 2021-05-17 2022-12-16 荷蘭商Asm Ip私人控股有限公司 沉積含硼之矽鍺層的方法和系統以及包含含硼之矽鍺層的場效電晶體
KR20230169169A (ko) * 2021-07-23 2023-12-15 어플라이드 머티어리얼스, 인코포레이티드 SiGe/Si 초격자 형성 방법들
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120295421A1 (en) * 2011-05-19 2012-11-22 International Business Machines Corporation Low temperature selective epitaxy of silicon germanium alloys employing cyclic deposit and etch
US20140045324A1 (en) * 2011-05-19 2014-02-13 Matheson Tri-Gas, Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
KR20170113275A (ko) * 2016-03-30 2017-10-12 도쿄엘렉트론가부시키가이샤 붕소 도프 실리콘 게르마늄막의 형성 방법 및 형성 장치, 및 기억 매체

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7176111B2 (en) * 1997-03-28 2007-02-13 Interuniversitair Microelektronica Centrum (Imec) Method for depositing polycrystalline SiGe suitable for micromachining and devices obtained thereof
WO2002080244A2 (en) 2001-02-12 2002-10-10 Asm America, Inc. Improved process for deposition of semiconductor films
US20040175893A1 (en) 2003-03-07 2004-09-09 Applied Materials, Inc. Apparatuses and methods for forming a substantially facet-free epitaxial film
US7682947B2 (en) 2003-03-13 2010-03-23 Asm America, Inc. Epitaxial semiconductor deposition methods and structures
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7132338B2 (en) 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US8821635B2 (en) 2004-09-14 2014-09-02 Arizona Board Of Regents On Behalf Of Arizona State University Method for growing Si-Ge semiconductor materials and devices on substrates
US7977154B2 (en) * 2006-04-14 2011-07-12 Mississippi State University Self-aligned methods based on low-temperature selective epitaxial growth for fabricating silicon carbide devices
US8207023B2 (en) * 2009-08-06 2012-06-26 Applied Materials, Inc. Methods of selectively depositing an epitaxial layer
JP5696530B2 (ja) * 2010-05-01 2015-04-08 東京エレクトロン株式会社 薄膜の形成方法及び成膜装置
US10011920B2 (en) * 2011-02-23 2018-07-03 International Business Machines Corporation Low-temperature selective epitaxial growth of silicon for device integration
US20120295417A1 (en) * 2011-05-17 2012-11-22 International Business Machines Corporation Selective epitaxial growth by incubation time engineering
EP2688089A1 (en) * 2012-07-17 2014-01-22 Imec Method for selective growth of highly doped group IV-Sn semiconductor materials
KR20170070281A (ko) 2014-10-30 2017-06-21 어플라이드 머티어리얼스, 인코포레이티드 저온에서 얇은 에피택셜 필름들을 성장시키는 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20120295421A1 (en) * 2011-05-19 2012-11-22 International Business Machines Corporation Low temperature selective epitaxy of silicon germanium alloys employing cyclic deposit and etch
US20140045324A1 (en) * 2011-05-19 2014-02-13 Matheson Tri-Gas, Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
KR20170113275A (ko) * 2016-03-30 2017-10-12 도쿄엘렉트론가부시키가이샤 붕소 도프 실리콘 게르마늄막의 형성 방법 및 형성 장치, 및 기억 매체

Also Published As

Publication number Publication date
TW202013453A (zh) 2020-04-01
US11018003B2 (en) 2021-05-25
EP3830860A4 (en) 2022-04-20
TWI828731B (zh) 2024-01-11
KR102501287B1 (ko) 2023-02-21
CN110783171A (zh) 2020-02-11
US20200035489A1 (en) 2020-01-30
WO2020028028A1 (en) 2020-02-06
EP3830860A1 (en) 2021-06-09

Similar Documents

Publication Publication Date Title
KR102501287B1 (ko) 낮은 온도들에서의 선택적 규소 게르마늄 에피택시 방법
JP4969244B2 (ja) 大量にドープされたエピタキシャルSiGeを選択的に堆積させる方法
JP5115970B2 (ja) 選択エピタキシープロセス制御
US7439142B2 (en) Methods to fabricate MOSFET devices using a selective deposition process
US7572715B2 (en) Selective epitaxy process with alternating gas supply
US8207023B2 (en) Methods of selectively depositing an epitaxial layer
US8330225B2 (en) NMOS transistor devices and methods for fabricating same
JP2009535859A (ja) 炭素を混合したsi膜を使用した極浅接合形成の方法
US20230223257A1 (en) Methods of epitaxially growing boron-containing structures
CN111656528A (zh) 用于n型金氧半导体源极漏极应用的共掺杂处理
KR20070022046A (ko) 선택적인 증착 프로세스들을 이용하여 mosfet 소자를제조하는 방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant