KR20190050869A - 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡 - Google Patents

루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡 Download PDF

Info

Publication number
KR20190050869A
KR20190050869A KR1020197012783A KR20197012783A KR20190050869A KR 20190050869 A KR20190050869 A KR 20190050869A KR 1020197012783 A KR1020197012783 A KR 1020197012783A KR 20197012783 A KR20197012783 A KR 20197012783A KR 20190050869 A KR20190050869 A KR 20190050869A
Authority
KR
South Korea
Prior art keywords
layer
aluminum
cobalt
forming
recess
Prior art date
Application number
KR1020197012783A
Other languages
English (en)
Other versions
KR102662612B1 (ko
Inventor
메훌 비. 나익
즈유안 우
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020237003498A priority Critical patent/KR20230026514A/ko
Publication of KR20190050869A publication Critical patent/KR20190050869A/ko
Application granted granted Critical
Publication of KR102662612B1 publication Critical patent/KR102662612B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76858After-treatment introducing at least one additional element into the layer by diffusing alloying elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1068Formation and after-treatment of conductors
    • H01L2221/1073Barrier, adhesion or liner layers
    • H01L2221/1084Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L2221/1089Stacks of seed layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electroplating Methods And Accessories (AREA)
  • Other Surface Treatments For Metallic Materials (AREA)

Abstract

본 개시내용의 실시예들은 기판에 구조를 형성하기 위한 개선된 방법들에 관한 것이다. 일 구현에서, 방법은 리세스를 기판에 형성하는 단계, 배리어 층을 기판의 노출된 표면들 및 리세스의 노출된 표면들 상에 형성하는 단계, 중간 층을 배리어 층 상에 형성하는 단계, 금속 충전 층을 중간 층 상에 형성하고 리세스를 과충전하는 단계, 배리어 층, 중간 층, 및 기판의 최상부 표면을 노출시키기 위해 금속 충전 층을 평탄화하는 단계, 코발트 층을 금속 충전 층 상에 선택적으로 형성하는 단계, 및 코발트-알루미늄 합금 층을 적어도 코발트 층의 최상부 표면 상에 선택적으로 형성하기 위해 기판을 알루미늄 함유 전구체에 노출시키는 단계를 포함한다.

Description

루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
본 개시내용의 실시예들은 일반적으로, 반도체 디바이스들에 인터커넥트들을 생성하는 것에 관한 것이다.
집적 회로들(IC)은 기판 위에 놓이는 유전체 물질의 층들 내에 또는 층들 상에 형성된 다양한 반도체 디바이스들을 포함한다. 유전체 층들에 또는 유전체 층들 상에 형성될 수 있는 그러한 디바이스들은 트랜지스터들, 양극성 트랜지스터들, 다이오드들, 및 저항기들을 포함한다. 유전체 물질에 또는 유전체 물질 상에 형성될 수 있는 다른 디바이스들은 박막 저항기들 및 커패시터들을 포함한다. 금속 라인들은 그러한 디바이스들에 전력을 공급하기 위해 반도체 디바이스들을 상호연결하고, 그러한 디바이스들이 정보를 공유하고 교환할 수 있게 한다. 그러한 인터커넥트들은 유전체 층 내의 디바이스들 사이에 수평으로 뿐만 아니라 유전체 층들 사이에 수직으로 연장된다. 이러한 금속 라인들은 일련의 인터커넥트들에 의해 서로 연결된다. 인터커넥트들 또는 금속 라인들은 먼저 유전체 층들 내로 패터닝되어, 이후에 금속으로 충전되는 수직 및 수평의 함몰된 피쳐들(비아들 및 트렌치들)을 형성한다. 유전체에 존재하는 금속으로-충전된 라인들을 포함하는 결과적인 층은 금속화 층으로 지칭된다.
금속 인터커넥트들을 생성하기 위한 종래의 구리 충전은 전자 이동 실패로 인해 보이드들을 초래할 수 있다. 전자 이동은 전도성 라인들의 금속 원자들이 높은 전류 밀도를 겪을 때(예를 들어, 회로가 작동 중일 때) 발생한다. 금속 원자들은, 전류 밀도가 충분히 높은 경우 전자 흐름의 방향으로 이동하고, 그에 의해, 금속 이온들이 떠난 곳에 보이드들을 형성하고, 금속 인터커넥트의 길이를 따라 금속 또는 유전체 배리어 밖으로 돌출된, 금속 물질로 구성된 돌출부들을 형성한다. 보이드들은 구리 인터커넥트를 얇아지게 하고, 결국 완전히 분리시켜서, 개방 회로를 야기할 것이다. 게다가, 돌출부들은 구리 금속으로 하여금 구리 인터커넥트를 지나, 인접한 구리 라인 내로 연장되게 하고, 그에 의해 단락을 야기할 수 있다.
구리 충전 동안 보이드들의 형성을 방지하기 위해, 라이너 층이, 라이너 층의 최상부 상에 구리를 리플로우하는 것을 촉진하는 데에 사용되었고, 그에 의해 작은 트렌치들 및 비아들에 대한 보이드없는 갭 충전을 획득하였다. 그러나, 구리는 라이너 층 상에서 쉽게 이동하고, 또한, 전자 이동 실패를 초래할 것이다.
그러므로, 관련 기술분야에서는 위에서 언급된 문제들을 해결하는 개선된 방법을 제공할 필요가 있다.
본 개시내용의 구현들은 작업물에 인터커넥트 구조를 형성하기 위한 개선된 방법을 제공한다. 일 구현에서, 방법은 리세스를 기판에 형성하는 단계, 배리어 층을 기판의 노출된 표면들 및 리세스의 노출된 표면들 상에 형성하는 단계, 중간 층을 배리어 층 상에 형성하는 단계, 금속 충전 층을 중간 층 상에 형성하고 리세스를 과충전하는 단계, 배리어 층, 중간 층, 및 기판의 최상부 표면을 노출시키기 위해 금속 충전 층을 평탄화하는 단계, 코발트 층을 금속 충전 층 상에 선택적으로 형성하는 단계, 및 코발트-알루미늄 합금 층을 적어도 코발트 층의 최상부 표면 상에 선택적으로 형성하기 위해 기판을 알루미늄 함유 전구체에 노출시키는 단계를 포함한다.
다른 실시예에서, 방법은 리세스를 기판에 형성하는 단계 ― 리세스는 수직 표면 및 수평 표면을 가짐 ―, 배리어 층을 리세스의 수직 표면 및 수평 표면 상에 그리고 기판의 최상부 표면 상에 형성하는 단계, 라이너 층을 배리어 층 상에 형성하는 단계, 시드 층을 라이너 층 상에 형성하는 단계, 전기도금 프로세스를 사용하여 금속 충전 층을 시드 층 상에 형성하는 단계, 금속 충전 층을 리플로우하고 리세스를 부분적으로 충전하기에 충분한 온도까지 금속 충전 층을 가열하는 단계, 리세스가 금속 충전 층에 의해 과충전될 때까지 금속 충전 층을 시드 층 상에 형성하고 금속 충전 층을 가열하는 것을 반복하는 단계, 배리어 층, 라이너 층, 시드 층, 및 기판의 최상부 표면을 노출시키기 위해 금속 충전 층을 평탄화하는 단계, 코발트 캡 층을 배리어 층, 라이너 층, 시드 층, 및 금속 충전 층 상에 선택적으로 형성하는 단계, 및 코발트-알루미늄 합금 층을 코발트 캡 층의 최상부 표면 상에 선택적으로 형성하기 위해 기판을 알루미늄 함유 전구체에 노출시키는 단계를 포함한다.
또 다른 실시예에서, 반도체 디바이스를 위한 인터커넥트 구조가 제공된다. 인터커넥트 구조는, 리세스를 갖는 유전체 기판 ― 리세스는 유전체 기판의 두께를 통해 형성됨 ―, 리세스 내에 형성된 배리어 층 ― 배리어 층은 리세스의 노출된 표면들을 커버함 ―, 배리어 층 상에 형성된 라이너 층, 라이너 층 상에 형성된 시드 층, 시드 층 상에 형성되고 리세스를 충전하는 금속 충전 층 ― 금속 충전 층의 최상부 표면, 시드 층의 최상부 표면, 라이너 층의 최상부 표면, 배리어 층의 최상부 표면, 및 유전체 기판의 최상부 표면은 동일 평면 상에 있음 ―, 금속 충전 층의 최상부 표면, 시드 층의 최상부 표면, 라이너 층의 최상부 표면, 배리어 층의 최상부 표면 상에 형성된 코발트 캡 층, 및 코발트 캡 층의 최상부 표면 상에 형성된 코발트-알루미늄 합금 층을 포함한다.
위에서 간략히 요약되고 아래에서 더 상세히 논의되는, 본 개시내용의 실시예들은 첨부 도면들에 도시된, 본 개시내용의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 본 개시내용은 동등한 효과의 다른 실시예들을 허용할 수 있기 때문에, 첨부 도면들은 본 개시내용의 전형적인 실시예들만을 예시하고 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 트렌치들 및 비아들을 포함하는 작업물들의 피쳐들에 인터커넥트 구조를 제조하기 위한 방법의 흐름도를 도시한다.
도 2a-2e는 도 1의 흐름도에 따른 제조의 다양한 단계들 동안의 간략화된 개념적 인터커넥트 구조의 단면도들을 도시한다.
이해를 용이하게 하기 위해, 가능한 경우, 도면들에 공통된 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 사용되었다. 도면들은 축척에 맞게 도시되지 않았고, 명확성을 위해 간략화될 수 있다. 일 실시예의 요소들 및 특징들이 추가의 언급 없이 다른 실시예들에 유익하게 통합될 수 있다는 것이 고려된다.
본 개시내용의 구현들은 작업물들, 예컨대, 반도체 웨이퍼들 또는 디바이스들을 처리하는 방법들에 관한 것이다. 작업물, 웨이퍼, 및 반도체 웨이퍼라는 용어들은, 반도체 웨이퍼들 및 다른 기판들 또는 웨이퍼들, 유리, 마스크, 및 광학 또는 메모리 매체, MEMS 기판들, 또는 마이크로전기, 마이크로기계 또는 마이크로전자기계 디바이스들을 갖는 임의의 다른 작업물을 포함하는 임의의 평평한 매체 또는 물품을 지칭할 수 있다. 본원에서 사용되는 바와 같은 "작업물"이라는 용어는 또한, 처리 시에 주어진 지점에 이전에 증착되고 형성된 모든 구조들 및 층들을 포함할 수 있고, 도면들에 도시된 바와 같은 그러한 구조들 및 층들만으로 제한되지 않는다.
도 1은 트렌치들 및 비아들을 포함할 수 있는 작업물들의 피쳐들에 인터커넥트 구조를 제조하기 위한 방법(100)의 흐름도를 도시한다. 일부 구현들에서, 방법은 작은 피쳐 인터커넥트들, 예를 들어, 30 nm 이하, 예를 들어, 14 nm 이하, 예를 들어, 10 nm 이하의 폭 또는 직경을 갖는 피쳐들을 생성하는 데에 사용될 수 있다. 그러나, 본 개시내용의 방법들은 임의의 피쳐 크기에 적용가능하다는 것을 이해해야 한다. 본 개시내용에서 논의되는 치수 크기들은 피쳐의 최상부 개구부에서의 식각 후 피쳐 치수들일 수 있다. 본원에서 설명되는 방법들은 다양한 형태들의 구리, 코발트, 니켈, 금, 은, 망가니즈, 주석, 알루미늄, 및, 예를 들어, 다마신 응용들에서의, 즉, 단일 또는 듀얼 다마신 응용에서의 합금 증착에 적용될 수 있다.
본 개시내용에서 금속 증착물로서 일반적으로 설명되지만, "금속"이라는 용어가 또한, 금속 합금들을 고려할 수 있다는 것을 이해해야 한다. 그러한 금속들 및 금속 합금들은 시드 층들을 형성하거나 피쳐를 완전히 또는 부분적으로 충전하는 데에 사용될 수 있다. 예시적인 구리 합금들은 구리 망가니즈 및 구리 알루미늄을 포함할 수 있지만, 이에 제한되지 않는다. 비-제한적인 예로서, 합금 조성 비율은 일차 합금 금속(예를 들어, Cu, Co, Ni, Ag, Au, Mn, Sn 또는 Al)과 비교하여 약 0.1% 내지 약 10% 이차 합금 금속의 범위에 있을 수 있다.
도 1은, 도 1의 흐름도에 따른 제조의 다양한 단계들 동안의 간략화된 개념적 인터커넥트의 단면도들을 도시하는 도 2a-2e를 참조하여 예시적으로 설명된다. 관련 기술분야의 통상의 기술자들은, 도 2a-2e의 구조들이, 이해의 용이함을 위해 대략적인 상대 크기들 또는 치수들을 예시하도록 개략적으로 도시되면서, 축척에 따라 도시된 것은 아니라는 것을 인식할 것이다. 관련 기술분야의 통상의 기술자들은 인터커넥트들 및 연관된 구조들을 형성하기 위한 완전한 프로세스가 도면들에 예시되거나 본원에 설명되지는 않는다는 것을 더 인식할 것이다. 대신에, 단순함 및 명확성을 위해, 인터커넥트들 및 연관된 구조들을 형성하기 위한 프로세스 중에서 본 개시내용에 고유하거나 본 개시내용의 이해에 필요한 만큼만이 도시되고 설명된다. 추가적으로, 다양한 단계들이 도면들에 예시되고 본원에 설명되지만, 그러한 단계들의 순서, 또는 중간 단계들의 존재 또는 부재에 관한 제한이 암시되지는 않는다. 순차적인 것으로 도시되거나 설명된 단계들은, 명시적으로 지정되지 않는 한, 단지 설명의 목적들을 위해서 그렇게 되어 있는 것이고, 각각의 단계들이 실제로는, 전체적으로는 아니더라도 적어도 부분적으로, 동시에 또는 겹치는 방식으로 수행될 가능성을 배제하지 않는다.
방법(100)은, 도 2a에 도시된 바와 같이, 블록(102)에서 리세스(102)를 작업물의 기판(100)에 형성함으로써 시작한다. 리세스(102)는, 나중의 스테이지에서 인터커넥트 라인 피쳐가 형성될 수 있는 트렌치를 나타낸다. 본원에서 설명되는 방법은 또한, 하부의 금속 영역(도시되지 않음)이 인터커넥트 피쳐에 의해 접촉될 다른 유형들의 인터커넥트들, 예컨대, 비아 구조들 또는 듀얼 다마신 트렌치 및 비아 구조들에 적용될 수 있다는 것이 고려된다. 그러므로, 리세스(102)는 트렌치, 비아 개구부, 조합된 트렌치와 비아 개구부, 또는 임의의 수직 및 수평 피쳐들일 수 있다. 리세스(102)는 임의의 적합한 리소그래피/식각 기술을 사용하여 형성될 수 있다.
기판(100)은 디바이스 층 또는 금속화 층과, 다른 금속화 층 사이에 배치된 층간 유전체 층을 나타낼 수 있다. 기판(100)은 임의의 유전체 층 또는 유전체 층들의 조합일 수 있다. 하나의 예시적인 구현에서, 기판은 낮은 유전 상수의 물질이다. 낮은 유전 상수의 물질들은 4 미만의, 예를 들어, 3.6 미만의 유전 상수를 갖는 것들을 지칭한다. 낮은 유전 상수의 물질들의 예들은 규소 및 질소 함유 물질들 또는 규소 및 탄소 함유 물질들 또는 이들의 조합들을 포함할 수 있다. 일부 예시적인 낮은 유전 상수의 물질들은 수소화 규소 탄소 산화물(SiCOH), 다공성 유전체 물질들, 예컨대, 다공성 SiCOH, 또는 유기 물질들을 포함할 수 있다. 일부 구현들에서, 기판은, 약 4의 유전 상수를 갖는, 규소 및 산소 함유 물질을 포함할 수 있다.
블록(104)에서, 도 2a에 도시된 바와 같이, 선택적 배리어 층(106)이 기판(100) 상에 형성된다. 배리어 층(106)은 리세스(102)를 라이닝하기 위해 기판(100)의 노출된 표면들 상에 (예를 들어, 등각으로) 형성될 수 있고, 그에 의해 기판(100)으로의 금속의 확산을 방지한다. 적합한 배리어 층들(106)은, 예를 들어, Ta, Ti, TiN, TaN, Mn, MnN 또는 이들의 조합을 포함할 수 있다. 적합한 배리어 증착 방법들은 물리 기상 증착(PVD), 원자 층 증착(ALD), 또는 화학 기상 증착(CVD)을 포함할 수 있다. 일 구현에서, 배리어 층(106)은 PVD TaN이다. 다른 구현에서, 배리어 층(106)은 ALD TaN 및 PVD TaN의 이중층이다. 또 다른 구현에서, 배리어 층(106)은 PVD TaN 및 PVD Ta의 이중층이다. TaN 및 Ta가 사용된 경우, PVD TaN은 약 2-25 옹스트롬(Å)의 두께를 가질 수 있고, PVD Ta는 약 2-25 Å의 두께를 가질 수 있다. 배리어 층들은 전형적으로, 구리 또는 구리 합금들을 기판으로부터 격리시키는 데에 사용된다. 그러나, 다른 금속 인터커넥트들의 경우에는 확산이 문제가 아닐 수 있고, 그러므로 배리어 층이 요구되지 않을 수 있다는 것을 이해해야 한다.
블록(106)에서, 중간 층(108)은, 도 2a에 도시된 바와 같이, 배리어 층(106)의 노출된 표면들 상에 (예를 들어, 등각으로) 형성된다. 중간 층(108)은 단일 층, 예컨대, PVD 구리 시드 층, 또는 라이너 층 및 시드 층(비제한적인 예로서, CVD Ru 라이너 층 및 PVD 구리 시드 층)으로 구성된 층 스택일 수 있다. 그러나, 중간 층(108)을 증착시키는 다른 방법들이 또한, 본 개시내용에 의해 고려된다는 점을 이해해야 한다. 일 구현에서, 중간 층(108)은 Ru 라이너 층 및 Ru 라이너 층 상에 증착된 구리 시드 층이다.
도 2bb는 중간 층(108)이 라이너 층(130) 및 시드 층(132)을 갖는 층 스택인 일 구현을 도시한다. 시드 층(132)은 금속, 예컨대, 구리, 코발트, 니켈, 금, 은, 망가니즈, 주석, 알루미늄, 루테늄 및 이들의 합금들의 얇고 연속적인 습윤(접합) 층일 수 있다. 시드 층(132)은 PVD, ALD, CVD, 또는 임의의 적합한 증착 방법을 사용하여 증착될 수 있다. 시드 층(132)은 전자 이동 성능을 증가시키기 위해 망가니즈, 알루미늄, 금, 칼슘, 아연, 카드뮴, 은 주석, 또는 다른 적합한 물질로 도핑될 수 있다. 일 구현에서, 시드 층(132)은 망가니즈(Mn)로 도핑된 구리(예를 들어, CuMn 합금)이다. 구리 망가니즈 합금의 망가니즈(Mn) 농도는 중량으로 약 0.1% 내지 약 10% 범위일 수 있다. 도핑된 Cu 시드 층(예를 들어, CuMn)의 사용은 전자 이동 성능을 증가시키는 것으로 여겨진다.
라이너 층(130)은 불연속적인 시드 문제들을 완화시키고 배리어 층(106)에 대한 시드 층(132)의 접착을 개선하는 것을 돕는다. 라이너 층(130)은 또한, 라이너 층(130) 상에 이후의 구리 리플로우를 촉진하여, 작은 트렌치 및 비아를 위한 보이드없는 갭 충전을 초래한다. 일 구현에서, 라이너 층(130)은 Ru이다. 일 구현에서, 라이너 층(130)은 Co이다. 라이너 층들은 귀금속들, 예컨대, Pt, Pd 및 Os일 수 있지만, 이 목록은 또한 Ni를 포함할 수 있다. 라이너 층(130)은 CVD, PVD, ALD, 또는 다른 적합한 증착 기법들에 의해 형성될 수 있다. 라이너 층(130)의 두께는, 다마신 응용들의 경우 약 5 Å 내지 50 Å의 범위에 있을 수 있다.
라이너 층(130)은, 임의의 표면 산화물들을 제거하고, 라이너 층(130)을 치밀화하고, 증착물의 표면 특성들을 개선하기 위해, 형성 가스 환경(예를 들어, 질소 중 3-5%의 수소 또는 헬륨 중 3-5%의 수소)에서 약 100 ℃ 내지 약 500 ℃의 온도로 열 처리 또는 어닐링될 수 있다. 라이너 층(130)은 추가적으로, 표면 산화를 방지하기 위해 가스상 질소(N2 가스) 또는 다른 부동태화 환경들에 침지됨으로써 부동태화될 수 있다.
블록(108)에서, 라이너 층(130) 및 시드 층(132)이 일단 증착되면, 도 2a에 도시된 바와 같이, 금속 충전 층(110)이 중간 층(108) 상에 형성된다. 금속 충전 층(110)은 스퍼터링 프로세스에 의해 형성될 수 있다. 일부 경우들이라면, 시드 층(132) 및 금속 충전 층(110) 둘 모두는 스퍼터링 프로세스를 사용하여 수행될 수 있다. 그러한 경우에, 시드 층(132) 및 금속 충전 층(110)은 단일 프로세스 챔버에서 수행되는 연속적인 증착일 수 있다. 금속 충전 층(110)은 또한, 금속의 등각 층을 제공하는 기법들, 예컨대, CVD, ALD, 증발, 또는 다른 적합한 증착 기법들, 예를 들어, 전기화학 증착(ECD) 증착 프로세스, 예컨대, 전기도금을 사용하여 형성될 수 있다.
금속 충전 층(110)을 위한 적합한 물질들은 Cu, Co, Ni, Au, Ag, Mn, Sn, W 및 Al 등을 포함할 수 있지만, 이에 제한되지 않는다. 일 구현에서, 금속 충전 층(110)은 ECD 증착 프로세스에 의해 증착된 구리의 등각 층이다. ECD 증착 프로세스는, 예를 들어, 약 8 내지 약 10의 범위의 pH의, 황산구리, 황산, 염산, 및 유기 첨가제들(예컨대, 촉진제들, 억제제들, 및 레벨러들)을 포함할 수 있는 산 증착 화학물질을 사용할 수 있다. 그러나, 적절한 유기 첨가제들을 사용하는 산성 화학물질들이 또한, 등각 ECD 증착을 달성하는 데에 사용될 수 있다는 것을 이해해야 한다.
ECD 증착 후에, 기판(100)은 회전, 헹굼 및 건조(SRD) 프로세스 또는 다른 세정 프로세스들을 겪을 수 있다. 그 다음, 금속 충전 층(110)은, 금속 충전 층(110)을 리플로우하기에는 충분히 높지만 작업물 또는 작업물 상의 요소들을 손상시키거나 열화시키기에 충분하지 않은 온도까지 가열된다. Ru 라이너 층 또는 Ru 시드 층의 사용은 루테늄 상에서의 구리의 리플로우를 향상시키는 것으로 여겨진다.
금속 충전 층(110)은 열 처리 프로세스 또는 어닐링 프로세스를 사용하여 가열될 수 있다. 본 개시내용의 다양한 구현들에서, 금속 충전 층(110)은 열 처리 또는 어닐링을 겪을 때 유동가능하다. 온도는 리세스(102)에서의 금속 층 리플로우를 위해 약 100 ℃ 내지 약 500 ℃의 범위일 수 있다. 적절한 열 처리 또는 어닐링 온도들은 약 100 ℃ 내지 약 500 ℃의 범위에 있으며, 약 100 ℃ 내지 약 400 ℃의 범위의, 예를 들어, 약 250 ℃ 내지 약 350 ℃의 온도 범위 내의 지속된 온도들을 유지할 수 있는 장비로 달성될 수 있다. 열 처리 또는 어닐링은 금속 충전 층(110)의 일부 또는 전부의 리플로우를 초래한다.
열 처리 또는 어닐링 프로세스는 형성 또는 불활성 가스, 순수 수소, 또는 환원 가스, 예컨대, 암모니아(NH3)를 사용하여 수행될 수 있다. 리플로우 동안, 증착물의 형상이 변화되어, 금속 증착물이 피쳐의 바닥에 고일 수 있다(즉, 상향식 충전). 열 처리 프로세스 동안의 리플로우에 추가하여, 금속 증착물은 또한, 더 큰 결정립들을 성장시킬 수 있고 막 비저항을 감소시킬 수 있다. 가열 후에 작업물을 냉각시키는 데에 불활성 가스가 사용될 수 있다.
리세스(102)를 부분적으로 충전하기 위해 열 처리 또는 어닐링이 수행될 수 있다. 일 구현에서, 금속 충전 층(110)은, 도 2b에 도시된 바와 같이, 부분적인 충전 섹션(112)을 형성하기 위해 리세스(102) 내로 유동한다. 일부 구현들에서, 본원에서 논의된 ECD 증착 및 리플로우 단계들은 원하는 충전 특성들(즉, 충전 섹션(112))에 도달할 때까지 반복될 수 있다. 이와 관련하여, 프로세스들은, 피쳐들의 실질적으로 보이드없는 충전을 달성하기 위해, 하나 이상의 ECD 증착 프로세스, 세정(예컨대 SRD), 및 열 처리 주기들을 포함할 수 있다. 반복 단계들의 횟수는, 예를 들어, 구조의 크기에 따를 수 있다. 일 구현에서, ECD 증착 및 리플로우 프로세스들은, 도 2c에 도시된 바와 같이, 금속 충전 층(110)으로 리세스(102)를 완전히 충전하거나 과충전하도록 반복된다. 금속 충전 층(110)은 리세스(102)를 5 nm 내지 1,000 nm의 두께까지 과충전할 수 있다. 금속 충전 층(110)의 두께는 후속 CMP 프로세스를 위한 기초로서 역할을 하도록 적절히 선택된다. 그 후, 기판(100)의 최상부 표면(107), (사용되는 경우) 배리어 층(106)의 최상부 표면(109), 및 중간 층(108)의 최상부 표면(111)을 노출시키기 위해, 화학적 기계적 연마(CMP) 프로세스를 사용하여 과잉 금속 충전 층(110)이 에치백된다. 그러므로, 기판(100)의 최상부 표면(107), (사용되는 경우) 배리어 층(106)의 최상부 표면(109), 중간 층(108)의 최상부 표면(111) 및 금속 충전 층(110)의 최상부 표면(103)은, 도 2c에 도시된 바와 같이, 실질적으로 동일 평면 상에 있다.
블록(110)에서, 금속 캡 층(114)은, 도 2d에 도시된 바와 같이, 리세스(102) 내에 완전히 충전된 금속 충전 층(110) 상에 선택적으로 형성된다. 일부 구현들에서, 금속 캡 층(114)의 부분들은, 도 2c에 도시된 바와 같이, 배리어 층(106)의 최상부 표면(109) 및 중간 층(108)의 최상부 표면(111)까지 연장된다. 선택적 증착 프로세스는, 이웃하는 라인들/전도성 요소들을 금속 캡 층(114)이 단락시키는 것을 방지하기 위해, 금속 캡 층(114)을 기판(100)의 최상부 표면(107) 상에는 형성하지 않는다. 금속 캡 층(114)은 Cu 및 유전체 배리어 접착을 증가시킴으로써 하부의 구리 충전의 전자 이동 성능을 개선하는 것으로 여겨진다. 금속 캡 층(114)은 또한, 다음 금속화 층과의 접착을 촉진하고, 이는 전자 이동 성능을 더 향상시킨다.
금속 캡 층(114)을 위한 적합한 물질들은, 금속 인터커넥트들의 응용에 따라, Co, Ni, Au, Ag, Mn, Sn, W, Al, 이들의 임의의 조합들, 또는 임의의 다른 금속 물질을 포함할 수 있지만, 이에 제한되지 않는다. 일 구현에서, 금속 캡 층(114)은 코발트로 형성되거나 적어도 코발트를 포함한다. 선택적 증착 프로세스는 전구체 및 표면 의존적일 수 있다. 선택적 증착 프로세스는 임의의 적합한 기법, 예컨대, 플라즈마 처리, PECVD, PEALD 또는 이들의 임의의 조합들을 사용하여 수행될 수 있다. 대안적으로, 선택적 증착 프로세스는 선택성을 달성하기 위해 (플라즈마 없이) 상이한 화학물질을 이용한 열 표면 처리를 사용하여 수행될 수 있다. 금속 캡 층(114)은 또한, 도금, 전기도금, 또는 다른 적합한 증착 기법들, 예컨대, CVD, PVD, ALD 등을 사용하여 도포될 수 있다.
블록(112)에서, 기판(100)은, 도 2e에 도시된 바와 같이, 금속 캡 층(114)을 도핑하거나 적어도 금속 캡 층(114)의 최상부 표면 상에 합금 층(116)을 형성하기 위해 알루미늄 함유 전구체에 노출된다. 금속 캡 층(114)이 코발트로 형성되는 하나의 예시적인 구현에서, 알루미늄 함유 전구체에 대한 작업물의 노출은 코발트-알루미늄 합금(즉, 합금 층(116))을 금속 캡 층(114) 상에 선택적으로 형성할 수 있다. 일부 구현들에서, 코발트-알루미늄 합금(116)은 선택적 Co 증착에 이어서 선택적 Al 증착에 의해 형성될 수 있다. 일부 구현들에서, 코발트-알루미늄 합금(116)은 선택적 Al 증착에 이어서 선택적 Co 증착에 의해 형성될 수 있다. 일부 구현들에서, 코발트-알루미늄 합금(116)은 선택적 주기 프로세스, 예컨대, Co-Al-Co-Al 증착들에 의해 형성될 수 있다. 일부 구현들에서, 코발트-알루미늄 합금(116)은 코발트 함유 전구체 및 알루미늄 함유 전구체를 함께 유동시킴으로써 형성될 수 있다.
적합한 코발트 함유 전구체들은 코발트 전구체들, 예컨대, 메틸시클로펜타디에닐 코발트 비스(카르보닐)(MeCpCo(CO)2), 에틸시클로펜타디에닐 코발트 비스(카르보닐)(EtCpCo(CO)2), 디코발트 옥타(카르보닐)(Co2(CO)8) 및 니트로실 코발트 트리스(카르보닐)((ON)Co(CO)3)를 포함한다. 적합한 코발트 함유 전구체들은 또한, 코발트 카르보닐 화합물들 또는 착물들, 예컨대, 시클로펜타디에닐 코발트 비스(카르보닐)(CpCo(CO)2), 트리카르보닐 알릴 코발트((CO)3Co(CH2CH〓CH2)), 디코발트 헥사카르보닐 부틸아세틸렌(CCTBA, (CO)6Co2(HC≡CtBu)), 디코발트 헥사카르보닐 메틸부틸아세틸렌((CO)6Co2(MeC≡CtBu)), 및 디코발트 헥사카르보닐 페닐아세틸렌((CO)6Co2(HC≡CPh))을 포함한다. 적합한 코발트 함유 전구체들은 또한, 코발트 아미디네이트들 또는 코발트 아미도 착물들, 예컨대, 비스(디(부틸디메틸실릴)아미도) 코발트(((BuMe2Si)2N)2Co), 비스(디(에틸디메틸실릴)아미도) 코발트(((EtMe2Si)2N)2Co), 비스(디(프로필디메틸실릴)아미도) 코발트(((PrMe2Si)2N)2Co), 및 비스(디(트리메틸실릴)아미도) 코발트(((Me3Si)2N)2Co)를 포함한다.
적합한 알루미늄 함유 전구체는 디메틸알루미늄 히드라이드(DMAH), 트리메틸알루미늄(TMA, Al2Me6 또는 (AlMe3)2), 트리에틸알루미늄(Al2Et6, (AlEt3)2, 또는 TEA), 트리3급부틸 알루미늄(TTBA), 알루미늄 히드라이드(AlH3), 및 이들의 조합들을 포함할 수 있지만, 이에 제한되지 않는다. 일 구현에서, 알루미늄 함유 전구체는 DMAH이다.
금속 캡 층(114)에 있는 코발트가 떠나 이동하여 하부의 구리 충전 또는 라이너 영역(예를 들어, 중간 층(108)) 내로 확산할 수 있기 때문에, 금속 캡 층(114) 상에 형성된 코발트-알루미늄 합금은 금속 캡 층(114) 내에 그리고 금속 캡 층(114)과 충전 섹션(112) 사이의 계면에 또는 그 근처에 코발트를 유지할 수 있으며, 그에 의해, 라이너 층(130), 중간 층(108, 132) 및/또는 금속 충전 층(110) 내로의 바람직하지 않은 코발트 이동을 방지하고, 따라서 전체 전자 이동 효율을 개선한다.
합금 층(116)은 임의의 적합한 기법, 예컨대, CVD 방법을 사용하여 형성될 수 있지만, 다른 증착 기법들, 예컨대, PVD 또는 ALD가 또한 사용될 수 있다. 알루미늄 함유 전구체는, (300 mm 기판의 경우) 작업물이 위치되는 처리 챔버 내에 약 10 sccm 내지 약 3,000 sccm, 예를 들어, 약 20 sccm 내지 약 1,500 sccm, 예컨대, 약 30 sccm 내지 약 200 sccm의 유량으로 도입될 수 있다. 프로세스 동안 작업물 또는 기판 페디스털의 온도는 약 200 ℃ 내지 약 800 ℃, 예컨대, 약 350 ℃ 내지 약 550 ℃, 예를 들어, 약 400 ℃ 내지 약 500 ℃의 범위 내에 있을 수 있다. 다른 구현에서, 작업물은 약 100 ℃ 내지 약 600 ℃, 예컨대, 약 120 ℃ 내지 약 500 ℃, 예를 들어, 약 150 ℃ 내지 약 425 ℃의 범위 내의 온도로 가열되고 그 온도로 유지될 수 있다. 처리 챔버는, 약 1 mTorr 내지 약 100 Torr, 예컨대, 약 1 Torr 내지 약 10 Torr, 예를 들어, 약 2 Torr 내지 약 5 Torr의 범위 내에서 가압되는 제어된 환경을 가질 수 있다. 결과적인 합금 층(116)의 두께는 약 2 Å 내지 약 200 Å, 예를 들어, 약 5 Å 내지 약 40 Å일 수 있다.
일부 구현들에서, 합금 층(116)은 수소 및/또는 아르곤을 함유하는 환경에서 약 100 ℃ 내지 약 500 ℃의 온도로 열 처리될 수 있다. 일부 구현들에서, 합금 층(116)은 수소 또는 질소를 함유하는 형성 가스 환경에서 약 100 ℃ 내지 약 500 ℃의 온도로 열 처리 또는 어닐링될 수 있다. 예를 들어, 형성 가스 환경은 질소 중 약 3-5%의 수소 또는 헬륨 중 3-5%의 수소를 함유할 수 있다. 열 또는 어닐링 처리는 임의의 원치 않는 표면 산화물들을 제거하고, 층 구조들을 치밀화하고, 합금 층(116)의 표면 특성들을 개선한다. 기판 또는 작업물은 추가적으로, 표면 산화를 방지하기 위해 가스상 질소(N2 또는 NH3 가스) 또는 다른 부동태화 환경들에 침지됨으로써 부동태화될 수 있다.
알루미늄 함유 전구체가 본원에서 논의되지만, 다른 도핑 전구체, 예컨대, Ru, Mn 또는 W가 또한, 금속 캡 층(114)과 반응하여 금속 캡 층 상에 합금 층을 형성하는 데에 사용될 수 있다는 것을 이해해야 한다. 도핑 전구체는, 구리 충전 또는 라이너 영역(예를 들어, 중간 층(108)) 내로의 코발트(또는, 금속 캡 층(114)에 의해 사용되는 다른 금속)의 이동 또는 확산을 방지하도록 선택되어야 한다. 도핑 전구체는 금속 캡 층(114)의 물질에 따라 변할 수 있다는 것이 고려된다.
블록(112) 후에, 금속 인터커넥트들을 완성하기 위해 또는 반도체 디바이스를 완성하는데 필요한 다른 피쳐들을 형성하기 위해 복수의 제조 기법들이 채용될 수 있다.
본 개시내용의 이점들은, 구리 라인 상에 형성된 선택적 코발트 캡 층을 알루미늄 함유 전구체에 노출시킴으로써 금속화 구조의 금속 원자들의 최소화된 전자 이동을 포함한다. 알루미늄 함유 전구체의 알루미늄은 선택적 코발트 캡 층과 반응하여 코발트-알루미늄 합금을 형성하며, 이는 구리 라인 아래에 배치된 라이너 및/또는 씨드 영역 내로의 코발트의 바람직하지 않은 이동 또는 확산을 방지한다. 코발트-알루미늄 합금은, 금속 인터커넥트들에서의 구리 라인의 전자 이동을 최소화하는 데에 사용되는 선택적 코발트 캡 층을 가짐으로써 완전한 영향을 준다. 결과적으로, 전체 전자 이동 효율이 개선된다.
전술한 내용은 본 개시내용의 실시예들에 관한 것이지만, 본 개시내용의 다른 및 추가적인 실시예들은 그의 기본 범위로부터 벗어나지 않고 안출될 수 있다.

Claims (15)

  1. 기판에 구조를 형성하기 위한 방법으로서,
    리세스를 상기 기판에 형성하는 단계;
    배리어 층을 상기 기판의 노출된 표면들 및 상기 리세스의 노출된 표면들 상에 형성하는 단계;
    중간 층을 상기 배리어 층 상에 형성하는 단계;
    금속 충전 층을 상기 중간 층 상에 형성하고 상기 리세스를 과충전하는 단계;
    상기 배리어 층, 상기 중간 층 및 상기 기판의 최상부 표면을 노출시키기 위해 상기 금속 충전 층을 평탄화하는 단계;
    코발트 층을 상기 금속 충전 층 상에 선택적으로 형성하는 단계; 및
    코발트-알루미늄 합금 층을 적어도 상기 코발트 층의 최상부 표면 상에 선택적으로 형성하기 위해 상기 기판을 알루미늄 함유 전구체에 노출시키는 단계를 포함하는, 기판에 구조를 형성하기 위한 방법.
  2. 제1항에 있어서,
    상기 코발트 층은 상기 노출된 배리어 층 및 상기 노출된 중간 층 위에 연장되는, 기판에 구조를 형성하기 위한 방법.
  3. 제1항에 있어서,
    상기 알루미늄 함유 전구체는 디메틸알루미늄 히드라이드(DMAH), 트리메틸알루미늄(TMA), 트리에틸알루미늄(TEA), 트리3급부틸 알루미늄(TTBA), 알루미늄 히드라이드(AlH3), 또는 이들의 조합들을 포함하는, 기판에 구조를 형성하기 위한 방법.
  4. 제1항에 있어서,
    상기 알루미늄 함유 전구체는 디메틸알루미늄 히드라이드(DMAH)를 포함하는, 기판에 구조를 형성하기 위한 방법.
  5. 제1항에 있어서,
    상기 중간 층은 라이너 층 및 상기 라이너 층 상에 형성된 시드 층을 포함하고, 상기 라이너 층은 루테늄(Ru)을 포함하고, 상기 시드 층은 구리를 포함하는, 기판에 구조를 형성하기 위한 방법.
  6. 제5항에 있어서,
    상기 시드 층은 순수 구리 또는 중량으로 약 0.1% 내지 약 10%의 Mn 농도를 갖는 구리 망가니즈(CuMn) 합금인, 기판에 구조를 형성하기 위한 방법.
  7. 제1항에 있어서,
    수소 및/또는 질소를 함유하는 환경에서 상기 코발트-알루미늄 합금 층을 약 100 ℃ 내지 약 500 ℃의 온도로 유지하는 단계를 더 포함하는, 기판에 구조를 형성하기 위한 방법.
  8. 기판에 구조를 형성하기 위한 방법으로서,
    리세스를 상기 기판에 형성하는 단계 ― 상기 리세스는 수직 표면 및 수평 표면을 가짐 ―;
    배리어 층을 상기 리세스의 상기 수직 표면 및 상기 수평 표면 상에 그리고 상기 기판의 최상부 표면 상에 형성하는 단계;
    라이너 층을 상기 배리어 층 상에 형성하는 단계;
    시드 층을 상기 라이너 층 상에 형성하는 단계;
    전기도금 프로세스를 사용하여 금속 충전 층을 상기 시드 층 상에 형성하는 단계;
    상기 금속 충전 층을, 상기 금속 충전 층을 리플로우하고 상기 리세스를 부분적으로 충전하기에 충분한 온도까지 가열하는 단계;
    금속 충전 층을 상기 시드 층 상에 형성하는 단계 및 상기 금속 충전 층을 가열하는 단계를 상기 리세스가 상기 금속 충전 층으로 과충전될 때까지 반복하는 단계;
    상기 배리어 층, 상기 라이너 층, 상기 시드 층, 및 상기 기판의 최상부 표면을 노출시키기 위해 상기 금속 충전 층을 평탄화하는 단계;
    코발트 캡 층을 상기 배리어 층, 상기 라이너 층, 상기 시드 층 및 상기 금속 충전 층 상에 선택적으로 형성하는 단계; 및
    코발트-알루미늄 합금 층을 상기 코발트 캡 층의 최상부 표면 상에 선택적으로 형성하기 위해 상기 기판을 알루미늄 함유 전구체에 노출시키는 단계를 포함하는, 기판에 구조를 형성하기 위한 방법.
  9. 제8항에 있어서,
    상기 알루미늄 함유 전구체는 디메틸알루미늄 히드라이드(DMAH), 트리메틸알루미늄(TMA), 트리에틸알루미늄(TEA), 트리3급부틸 알루미늄(TTBA), 알루미늄 히드라이드(AlH3), 또는 이들의 조합들을 포함하는, 기판에 구조를 형성하기 위한 방법.
  10. 제8항에 있어서, 상기 라이너 층은 Ru를 포함하고, 상기 시드 층은 구리를 포함하는, 기판에 구조를 형성하기 위한 방법.
  11. 제10항에 있어서,
    상기 시드 층은 순수 구리인, 기판에 구조를 형성하기 위한 방법.
  12. 제10항에 있어서,
    상기 시드 층은 중량으로 약 0.1% 내지 약 10%의 Mn 농도를 갖는 구리 망가니즈(CuMn) 합금인, 기판에 구조를 형성하기 위한 방법.
  13. 반도체 디바이스를 위한 인터커넥트 구조로서,
    리세스를 갖는 유전체 기판 ― 상기 리세스는 상기 유전체 기판의 두께를 통하여 형성됨 ―;
    상기 리세스 내에 형성된 배리어 층 ― 상기 배리어 층은 상기 리세스의 노출된 표면들을 커버함 ―;
    상기 배리어 층 상에 형성된 라이너 층;
    상기 라이너 층 상에 형성된 시드 층;
    상기 시드 층 상에 형성되고 상기 리세스를 충전하는 금속 충전 층 ― 상기 금속 충전 층의 최상부 표면, 상기 시드 층의 최상부 표면, 상기 라이너 층의 최상부 표면, 상기 배리어 층의 최상부 표면, 및 상기 유전체 기판의 최상부 표면은 동일 평면 상에 있음 ―;
    상기 금속 충전 층의 최상부 표면, 상기 시드 층의 최상부 표면, 상기 라이너 층의 최상부 표면, 및 상기 배리어 층의 최상부 표면 상에 형성된 코발트 캡 층; 및
    상기 코발트 캡 층의 최상부 표면 상에 형성된 코발트-알루미늄 합금 층을 포함하는, 반도체 디바이스를 위한 인터커넥트 구조.
  14. 제13항에 있어서,
    상기 라이너 층은 Ru를 포함하고, 상기 시드 층은 구리를 포함하는, 반도체 디바이스를 위한 인터커넥트 구조.
  15. 제13항에 있어서,
    상기 시드 층은 순수 구리 또는 중량으로 약 0.1% 내지 약 10%의 Mn 농도를 갖는 구리 망가니즈(CuMn) 합금인, 반도체 디바이스를 위한 인터커넥트 구조.
KR1020197012783A 2016-10-02 2017-09-14 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡 KR102662612B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020237003498A KR20230026514A (ko) 2016-10-02 2017-09-14 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662403186P 2016-10-02 2016-10-02
US62/403,186 2016-10-02
PCT/US2017/051566 WO2018063815A1 (en) 2016-10-02 2017-09-14 Doped selective metal caps to improve copper electromigration with ruthenium liner

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020237003498A Division KR20230026514A (ko) 2016-10-02 2017-09-14 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡

Publications (2)

Publication Number Publication Date
KR20190050869A true KR20190050869A (ko) 2019-05-13
KR102662612B1 KR102662612B1 (ko) 2024-05-03

Family

ID=61759062

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237003498A KR20230026514A (ko) 2016-10-02 2017-09-14 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
KR1020197012783A KR102662612B1 (ko) 2016-10-02 2017-09-14 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020237003498A KR20230026514A (ko) 2016-10-02 2017-09-14 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡

Country Status (6)

Country Link
US (2) US11373903B2 (ko)
JP (1) JP6998945B2 (ko)
KR (2) KR20230026514A (ko)
CN (1) CN109844930B (ko)
TW (1) TWI723228B (ko)
WO (1) WO2018063815A1 (ko)

Families Citing this family (38)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
KR20230026514A (ko) * 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10731250B2 (en) * 2017-06-06 2020-08-04 Lam Research Corporation Depositing ruthenium layers in interconnect metallization
US10347529B2 (en) * 2017-10-04 2019-07-09 Globalfoundries Inc. Interconnect structures
US11270943B2 (en) * 2018-03-27 2022-03-08 Intel Corporation Copper interconnect cladding
US11749560B2 (en) * 2018-09-25 2023-09-05 Intel Corporation Cladded metal interconnects
US10636702B2 (en) * 2018-09-27 2020-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Conductive interconnect structures in integrated circuits
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11158788B2 (en) * 2018-10-30 2021-10-26 International Business Machines Corporation Atomic layer deposition and physical vapor deposition bilayer for additive patterning
US11081390B2 (en) 2018-12-31 2021-08-03 Texas Instruments Incorporated Multi-pass plating process with intermediate rinse and dry
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20210150604A (ko) * 2019-05-01 2021-12-10 램 리써치 코포레이션 반도체 디바이스 제작 시 금속들의 전착 (electrodeposition) 동안 시드 층들의 보호
US11289329B2 (en) * 2019-05-03 2022-03-29 Applied Materials, Inc. Methods and apparatus for filling a feature disposed in a substrate
US11177162B2 (en) * 2019-09-17 2021-11-16 International Business Machines Corporation Trapezoidal interconnect at tight BEOL pitch
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11817389B2 (en) 2020-03-24 2023-11-14 International Business Machines Corporation Multi-metal interconnects for semiconductor device structures
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
US11171051B1 (en) * 2020-05-06 2021-11-09 International Business Machines Corporation Contacts and liners having multi-segmented protective caps
US20230154851A1 (en) 2020-06-04 2023-05-18 Tohoku University Semiconductor device
US11764157B2 (en) 2020-07-23 2023-09-19 Applied Materials, Inc. Ruthenium liner and cap for back-end-of-line applications
US20220064784A1 (en) * 2020-09-03 2022-03-03 Applied Materials, Inc. Methods of selective deposition
US20220277994A1 (en) * 2021-02-26 2022-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Conductive feature of semiconductor device and method of forming same
US20230068398A1 (en) * 2021-08-30 2023-03-02 Taiwan Semiconductor Manufacturing Company, Ltd. Ruthenium-based liner for a copper interconnect
CN113809001B (zh) * 2021-09-03 2023-12-01 长江存储科技有限责任公司 半导体器件及其形成方法
WO2023204978A1 (en) * 2022-04-18 2023-10-26 Lam Research Corporation Conformal copper deposition on thin liner layer
US20240153816A1 (en) * 2022-11-04 2024-05-09 Applied Materials, Inc. Methods to form metal liners for interconnects

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080280432A1 (en) * 2004-12-01 2008-11-13 Chung-Liang Chang Barrier Material and Process for Cu Interconnect
US20110049716A1 (en) * 2009-09-03 2011-03-03 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US20150325477A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Super conformal metal plating from complexed electrolytes
US20150357236A1 (en) * 2014-06-08 2015-12-10 International Business Machines Corporation Ultrathin Multilayer Metal Alloy Liner for Nano Cu Interconnects

Family Cites Families (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5913147A (en) * 1997-01-21 1999-06-15 Advanced Micro Devices, Inc. Method for fabricating copper-aluminum metallization
JP5016767B2 (ja) * 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
JP2002313913A (ja) * 2001-04-17 2002-10-25 Seiko Epson Corp コンタクトプラグ構造及びその製造方法
US8193087B2 (en) * 2006-05-18 2012-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Process for improving copper line cap formation
US7615486B2 (en) 2007-04-17 2009-11-10 Lam Research Corporation Apparatus and method for integrated surface treatment and deposition for copper interconnect
WO2008027216A2 (en) 2006-08-30 2008-03-06 Lam Research Corporation Processes and integrated systems for engineering a substrate surface for metal deposition
SG174752A1 (en) * 2006-08-30 2011-10-28 Lam Res Corp Processes and integrated systems for engineering a substrate surface for metal deposition
US7794530B2 (en) 2006-12-22 2010-09-14 Lam Research Corporation Electroless deposition of cobalt alloys
US8304909B2 (en) * 2007-12-19 2012-11-06 Intel Corporation IC solder reflow method and materials
US8349724B2 (en) 2008-12-31 2013-01-08 Applied Materials, Inc. Method for improving electromigration lifetime of copper interconnection by extended post anneal
JP2010225682A (ja) * 2009-03-19 2010-10-07 Toshiba Corp 半導体装置およびその製造方法
US8268722B2 (en) 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
JP5481989B2 (ja) * 2009-07-22 2014-04-23 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2011086787A (ja) * 2009-10-16 2011-04-28 Panasonic Corp 半導体装置及びその製造方法
JP5773306B2 (ja) * 2010-01-15 2015-09-02 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 半導体素子構造を形成する方法および装置
US8178439B2 (en) * 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
US8912658B2 (en) * 2010-10-29 2014-12-16 International Business Machines Corporation Interconnect structure with enhanced reliability
US8492897B2 (en) * 2011-09-14 2013-07-23 International Business Machines Corporation Microstructure modification in copper interconnect structures
US20130112462A1 (en) * 2011-11-07 2013-05-09 International Business Machines Corporation Metal Alloy Cap Integration
US8772158B2 (en) 2012-07-20 2014-07-08 Globalfoundries Inc. Multi-layer barrier layer stacks for interconnect structures
US9425092B2 (en) * 2013-03-15 2016-08-23 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices
US9171801B2 (en) 2013-05-09 2015-10-27 Globalfoundries U.S. 2 Llc E-fuse with hybrid metallization
CN104347476B (zh) * 2013-07-23 2018-06-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
JP6257217B2 (ja) * 2013-08-22 2018-01-10 東京エレクトロン株式会社 Cu配線構造の形成方法
KR102403706B1 (ko) * 2013-09-27 2022-05-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US9601431B2 (en) * 2014-02-05 2017-03-21 Applied Materials, Inc. Dielectric/metal barrier integration to prevent copper diffusion
US20150380296A1 (en) * 2014-06-25 2015-12-31 Lam Research Corporation Cleaning of carbon-based contaminants in metal interconnects for interconnect capping applications
US9305836B1 (en) * 2014-11-10 2016-04-05 International Business Machines Corporation Air gap semiconductor structure with selective cap bilayer
KR102250583B1 (ko) * 2014-12-16 2021-05-12 에스케이하이닉스 주식회사 듀얼일함수 게이트구조를 구비한 반도체장치 및 그 제조 방법, 그를 구비한 메모리셀, 그를 구비한 전자장치
US9780035B1 (en) * 2016-06-30 2017-10-03 International Business Machines Corporation Structure and method for improved stabilization of cobalt cap and/or cobalt liner in interconnects
KR20230026514A (ko) * 2016-10-02 2023-02-24 어플라이드 머티어리얼스, 인코포레이티드 루테늄 라이너로 구리 전자 이동을 개선하기 위한 도핑된 선택적 금속 캡

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080280432A1 (en) * 2004-12-01 2008-11-13 Chung-Liang Chang Barrier Material and Process for Cu Interconnect
US20110049716A1 (en) * 2009-09-03 2011-03-03 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US20150325477A1 (en) * 2014-05-09 2015-11-12 Applied Materials, Inc. Super conformal metal plating from complexed electrolytes
US20150357236A1 (en) * 2014-06-08 2015-12-10 International Business Machines Corporation Ultrathin Multilayer Metal Alloy Liner for Nano Cu Interconnects

Also Published As

Publication number Publication date
WO2018063815A1 (en) 2018-04-05
CN109844930A (zh) 2019-06-04
US20180096888A1 (en) 2018-04-05
TW201827636A (zh) 2018-08-01
JP2019531604A (ja) 2019-10-31
KR20230026514A (ko) 2023-02-24
US11373903B2 (en) 2022-06-28
US20220336271A1 (en) 2022-10-20
US11990368B2 (en) 2024-05-21
TWI723228B (zh) 2021-04-01
KR102662612B1 (ko) 2024-05-03
JP6998945B2 (ja) 2022-01-18
CN109844930B (zh) 2024-03-08

Similar Documents

Publication Publication Date Title
US11990368B2 (en) Doped selective metal caps to improve copper electromigration with ruthenium liner
US9048294B2 (en) Methods for depositing manganese and manganese nitrides
US10665503B2 (en) Semiconductor reflow processing for feature fill
US7425506B1 (en) Methods of providing an adhesion layer for adhesion of barrier and/or seed layers to dielectric films
US7851357B2 (en) Method of forming electrodeposited contacts
US10784157B2 (en) Doped tantalum nitride for copper barrier applications
US9076661B2 (en) Methods for manganese nitride integration
KR20150114908A (ko) 인터커넥트들을 형성하기 위한 방법
US20140103534A1 (en) Electrochemical deposition on a workpiece having high sheet resistance
US11171046B2 (en) Methods for forming cobalt and ruthenium capping layers for interconnect structures
KR20130121042A (ko) 피쳐 필을 위한 반도체 리플로우 프로세싱
US20100193956A1 (en) Multi-layer metal wiring of semiconductor device preventing mutual metal diffusion between metal wirings and method for forming the same
US10847463B2 (en) Seed layers for copper interconnects
TWI653367B (zh) 具有高薄片電阻之工件上的電化學沉積
KR20130121041A (ko) 고 종횡비 필을 위한 반도체 리플로우 프로세싱
WO2023033901A1 (en) Method of forming a metal liner for interconnect structures

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
E90F Notification of reason for final refusal
AMND Amendment
X601 Decision of rejection after re-examination
J201 Request for trial against refusal decision
J301 Trial decision

Free format text: TRIAL NUMBER: 2023101000211; TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20230130

Effective date: 20230629

E902 Notification of reason for refusal
GRNO Decision to grant (after opposition)