KR20190037107A - 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 및 아민 카르복실산 조성물 및 이의 사용 방법 - Google Patents

얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 및 아민 카르복실산 조성물 및 이의 사용 방법 Download PDF

Info

Publication number
KR20190037107A
KR20190037107A KR1020180108845A KR20180108845A KR20190037107A KR 20190037107 A KR20190037107 A KR 20190037107A KR 1020180108845 A KR1020180108845 A KR 1020180108845A KR 20180108845 A KR20180108845 A KR 20180108845A KR 20190037107 A KR20190037107 A KR 20190037107A
Authority
KR
South Korea
Prior art keywords
composition
carboxylic acid
silica particles
cmp polishing
amine carboxylic
Prior art date
Application number
KR1020180108845A
Other languages
English (en)
Other versions
KR102654089B1 (ko
Inventor
쿠마 펜타 나레시
리 지펭
Original Assignee
롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드 filed Critical 롬 앤드 하스 일렉트로닉 머티리얼스 씨엠피 홀딩스, 인코포레이티드
Publication of KR20190037107A publication Critical patent/KR20190037107A/ko
Application granted granted Critical
Publication of KR102654089B1 publication Critical patent/KR102654089B1/ko

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

본 발명은 수성 화학 기계적 평탄화 연마(CMP 연마) 조성물로서, 양이온성 질소 원자를 함유하는 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 1종 이상의 분산액의 연마재와, 5 미만의 등전점(pI)을 갖는 1종 이상의 아민 카르복실산, 바람직하게는, 산성 아민 카르복실산 또는 피리딘산을 포함하되, 상기 조성물은 pH 2 내지 5를 갖는, 예컨대 반도체 기판을 위한 수성 화학 기계적 평탄화 연마(CMP 연마) 조성물을 제공한다. 상기 조성물은 높은 산화물:질화물 제거율 비로의 연마를 가능하게 한다.

Description

얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 및 아민 카르복실산 조성물 및 이의 사용 방법{AQUEOUS SILICA SLURRY AND AMINE CARBOXYLIC ACID COMPOSITIONS FOR USE IN SHALLOW TRENCH ISOLATION AND METHODS OF USING THEM}
본 발명은 수성 화학 기계적 평탄화(CMP: Chemical Mechanical Planarization) 폴리싱 조성물로서, 세장형(elongated), 절곡형(bent) 또는 노듈형(nodular) 콜로이드성 실리카 입자의 1종 이상의 분산액 또는 구형 콜로이드성 실리카 입자의 1종 이상의 분산액을 갖는 이들의 혼합물의 연마재(abrasive) 및 등전점(pI) 5 미만을 갖는 아민 카르복실산 1종 이상을 포함하되, 상기 조성물은 pH 2 내지 5를 갖는 수성 화학 기계적 평탄화 (CMP) 폴리싱 조성물에 관한 것이다.
전공정(front-end-of-line: FEOL) 반도체 프로세싱에서, 얕은 트렌치 소자격리(shallow trench isolation: STI)는, 트랜지스터 형성 이전과 같은 집적 회로 제작에서의 게이트 형성에 중요하다. STI에서, 실리콘 웨이퍼에 형성된 개구부(opening), 예컨대 질화 규소(SiN) 배리어에 의해 상기 집적 회로의 나머지부로부터 격리되어 있는 트렌치 또는 격리 영역에 테트라에틸 오르토실리케이트(TEOS) 또는 이산화 규소와 같은 유전체가 과량으로 증착된다. 이어서, 상기 과량의 유전체를 제거하기 위해 CMP 프로세스가 이용되어, 상기 유전체의 예정된 패턴이 상기 실리콘 웨이퍼에 새겨진 구조체를 생성시킨다. STI를 위한 CMP는 상기 격리 영역으로부터 상기 이산화 규소 오버버든(overburden)을 제거하고 평탄화하는 것을 필요로 하며, 이로써 상기 이산화 규소-채워진 트렌치를 갖는 동일평면상의(coplanar) 표면이 생성된다. STI에서, 하지(downstream) 프로세싱에서 질화물 하드 마스크(hard mask)의 후속 제거를 허용하도록 하기 위해 상기 질화 규소 필름 표면으로부터 상기 이산화 규소 또는 산화 규소가 제거되어야 한다. 허용가능한 산화물:질화물 제거율 비는 기저의(underlying) Si 액티브 영역의 손상을 방지하기 위하여, 또한, 모든 패턴 밀도에 상기 산화물이 확실히 없도록 하는 과폴리싱 마진(margin)을 제공하기 위하여 필요하다. 또한, 완성된 게이트에서 낮은 임계전압(threshold voltage) 누설을 방지하기 위해서는 임의의 트렌치에서의 상기 산화물 디싱(dishing)이 방지되어야 한다.
현재, 기판(substrate)을 폴리싱하기 위해 CMP 폴리싱 패드와 함께 사용되는 수성 화학, 기계적 평탄화 폴리싱(CMP polishing) 조성물의 사용자들은 세리아(ceria) 함유 CMP 폴리싱 조성물의 사용을 피하기를 원한다. 세리아 슬러리는 질화 규소 대비 이산화 규소에 대해 높은 선택도(selectivity)를 보여주며, 질화 규소의 노출시 상기 트렌치 영역에 있는 산화물 제거를 방지하지만, 고가이고, RR 및 프로세스 안정도와 관련된 문제를 가지며, 폴리싱동안 결함(defect)을 야기하는 경향이 있다. 실리카 슬러리 배합물은 비용이 낮고 결함이 없는 용액을 제공하지만, 현재까지, STI 용도에서 사용하기에 불만족스러운 산화물 디싱 제어 및 부적절한 산화물:질화물 선택도를 겪고있다.
Grumbine 등의 미국특허 제 9,499,721 B2호는 기판을 폴리싱하기 위한 화학 기계적 폴리싱 조성물을 개시하고 있으며, 상기 조성물은 영구 양전하를 갖는 입자 및 상기 입자에 혼입된 화합물 종(chemical species) 1종 이상을 가질 수 있는 콜로이드성 실리카 분산액을 포함한다. 상기 실리카 입자에 있는 상기 화합물 종은 질소 함유 화합물, 바람직하게는 아미노실란, 또는 인 함유 화합물일 수 있다. 이러한 화합물 종 수백 개 중에서, 화합물을 함유하는 질소는 아민 카르복실산일 수 있지만 Grumbine은 유전체 산화물:유전체 질화물 제거율의 선택도가 증가하는 임의의 아민 카르복실산을 포함하는 어떠한 조성물을 개시하지 못하고 있다. 또한, Grumbine은 실리카 입자 중 화학물 종 및 아민 카르복실산이 아닌 별도의 질화 규소 폴리싱 억제 첨가물 둘 모두를 요구하는 것으로 나타난다.
본 발명자들은 STI 용도에서 사용하기 위해 허용가능한 산화물 디싱 제어 및 산화물:질화물 제거율 선택도를 가능하게 하는 수성 실리카 슬러리를 제공하는 문제점 및 슬러리를 사용하는 방법을 해결하기 위해 노력하였다.
1. 본 발명에 따르면, 수성 화학 기계적 평탄화 폴리싱 (CMP polishing) 조성물은 양이온성 질소 원자를 함유하는 세장형(elongated), 절곡형(bent) 또는 노듈형(nodular) 콜로이드성 실리카 입자, 바람직하게는, 예를 들면, 최장 치수에 수직인 직경에 대한 상기 입자의 상기 최장 치수인 평균 입자 종횡비 1.8:1 내지 3:1을 갖는 입자의 분산액 1종 이상, 또는 이들과 구형(spherical) 콜로이드성 실리카 입자의 분산액의 혼합물의 연마재와, 등전점(isoelectric point: pI) 5 미만을 갖는 아민 카르복실산 1종 이상, 바람직하게는, 산성 아민 카르복실산 또는 피리딘산, 또는, 바람직하게는 pI 2.0-4.5를 갖는 아민 카르복실산을 포함하되, 상기 조성물은 pH 2 내지 5 또는, 바람직하게는, 3 내지 4를 갖고, 또한, 상기 연마재 입자의 고형물로서의 양은 상기 조성물의 전체 중량을 기준으로 0.01 내지 20 중량%, 또는, 바람직하게는, 0.1 내지 15 중량%, 또는, 더욱 바람직하게는, 0.5 내지 2.5 중량% 범위이다.
2. 상기 1 항목에 기재된 바와 같은 상기 수성 CMP 폴리싱 조성물에 따르면, 상기 연마재는 양이온성 질소 원자를 함유하는 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 분산액과 구형 콜로이드성 실리카 입자의 분산액의 혼합물을 포함하되, 상기 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 분산액의 양은 상기 연마재의 전체 고형물 중량을 기준으로 80 내지 99.9 중량%, 또는, 바람직하게는, 95 내지 99.9 중량% 범위이다.
3. 상기 1 또는 2 항목 중 어느 하나에 기재된 바와 같은 상기 수성 CMP 폴리싱 조성물에 따르면, 상기 콜로이드성 실리카 입자의 분산액에 있는 상기 연마재 콜로이드성 실리카 입자 범위의 중량 평균 입자 크기 (CPS) 또는 1종보다 많은 상기 분산액에 있는 상기 입자 크기의 가중 평균은 10 nm 내지 200 nm, 또는, 바람직하게는, 25 nm 내지 80 nm 범위이다.
4. 상기 1, 2 또는 3 항목 중 어느 하나에 기재된 바와 같은 상기 수성 CMP 폴리싱 조성물에 따르면, 상기 아민 카르복실산 1종 이상은 산성 아민 카르복실산 또는 피리딘산으로부터 선택되거나, 또는, 더욱 바람직하게는, 니코틴산, 피콜린산, 글루탐산 또는 아스파르트산으로부터 선택된다.
5. 상기 4 항목에 기재된 바와 같은 상기 수성 CMP 폴리싱 조성물에 따르면, 상기 아민 카르복실산 1종 이상의 전체 고형물의 양은 0.005 내지 5 중량% 범위이고, 바람직하게는, 상기 산성 아민 카르복실산은 0.01 내지 1 중량%의 양, 또는, 더욱 바람직하게는, 0.02 내지 0.5 중량%의 양으로 존재하거나, 또는, 바람직하게는, 상기 아민 카르복실산은 예를 들면, 0.04 내지 3 중량%, 또는, 더욱 바람직하게는, 0.08 내지 2 중량%의 양의 피리딘(피리딘 다이카르복실산 제외)이고, 모든 중량%는 상기 조성물의 전체 중량을 기준으로 한다.
6. 상기 1, 2, 3, 4, 또는 5 항목 중 어느 하나에 기재된 바와 같은 상기 수성 CMP 폴리싱 조성물에 따르면, 상기 조성물은 다이알릴다이알킬아민 염, 다이알릴알킬아민 염, 또는 다이알릴아민 염 중 임의의 것과 비이온성 모노머, 예컨대 이산화황의 양이온성 코폴리머와 같은 양이온성 코폴리머 1종 이상을 더 포함한다.
7. 본 발명의 또 다른 측면에 따르면, 수성 CMP 폴리싱 조성물을 이용하는 방법은 CMP 폴리싱 패드 및 상기 1 내지 6 항목 중 어느 하나에 기재된 바와 같은 수성 CMP 폴리싱 조성물을 이용하여 기판을 폴리싱하는 단계를 포함한다.
8. 상기 6 항목에 기재된 바와 같은 본 발명의 방법에 따르면, 상기 기판은 이산화 규소 또는 테트라에톡시 실리케이트(TEOS)와, SiN 또는 Si3N4 또는 이들의 혼합물로서 질화 규소를 둘다 포함하고, 상기 폴리싱의 결과로 산화물:질화물 제거율 비가 적어도 8:1, 예를 들면, 8:1 내지 100:1 또는, 바람직하게, 적어도 50:1, 예를 들면, 30:1 내지 70:1이 된다.
9. 상기 7 또는 8 항목 중 임의의 하나와 같이 기판을 폴리싱하는 본 발명의 방법에 따르면, 상기 폴리싱 다운포스(downforce)가 10.3 kPa (1.5 psi) 내지 41.5 kPa (6 psi) 또는, 바람직하게, 12 kPa (1.8 psi) 내지 36 kPa (5.2 psi) 범위이다.
10. 상기 7, 8, 또는 9 항목 중 어느 하나에서와 같은 기판을 폴리싱하기 위한 본 발명의 방법에 따르면, 상기 CMP 폴리싱 조성물은 상기 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자, 구형 콜로이드성 실리카 입자, 또는 이들의 혼합물의 분산액의 전체 고형물 함량을 총 0.5 내지 5 중량%, 또는, 바람직하게는, 1 내지 3 중량%를 포함한다. 상기 CMP 폴리싱 조성물은 농축물로 보관 및 수송될 수 있고, 이후 기판을 폴리싱하는 시점에 물로 희석될 수 있다.
달리 명시되지 않는 한, 온도 및 압력의 조건은 주위 온도(ambient temperature) 및 표준 압력(standard pressure)이다. 인용된 모든 범위는 포괄적이고(inclusive) 조합가능하다(combinable).
달리 표기되지 않는 한, 괄호를 함유하는 임의의 용어는, 대안적으로, 괄호가 존재하지 않을 때의 전체 용어(whole term) 및 괄호가 없는 상기 용어, 및 각 대안의 조합을 의미한다. 따라서, 용어 "(폴리)이소시아네이트"는 이소시아네이트, 폴리이소시아네이트, 또는 이들의 혼합물을 의미한다.
모든 범위는 포괄적이고 조합가능하다. 예를 들면, 용어 "50 내지 3000 cPs, 또는 100 cPs 이상의 범위"는 50 내지 100 cPs, 50 내지 3000 cPs 및 100 내지 3000 cPs 각각을 함유할 것이다.
본원에서 사용될 때 용어 "아민 카르복실산"은 카르복실기 적어도 1개 및 아민 또는 암모니아기 적어도 1개를 함유하는 임의의 유기 화합물을 의미한다. 따라서, 아민 카르복실산은 자연 발생의 아미노산 또는 펩타이드 결합을 형성하는 아미노산에 한정되지 않는다. 예를 들면, 피리딘 카르복실산은 펩타이드 결합을 형성하지 않는 경향의 아민 카르복실산이다.
본원에서 사용될 때 용어 "ASTM"은 미국 펜실베니아주 웨스트 콘쇼혹켄에 있는 ASTM International의 발행물을 의미한다.
본원에서 사용될 때 용어 "콜로이드적으로 안정한(colloidally stable)"은 특정 조성물이 겔화되거나 침전되지 않고, 특정 온도에서 특정 시간 후에 육안 검사시 투명하게 있음을 의미한다.
본원에서 사용될 때 용어 "등전점" 또는 "pI"는, 아민 카르복실산의 경우에, 상기 아민 카르복실산이 전기장 또는 전기영동 매질에서 이동하지 않는 pH이다; 상기 pI는 중성(neutral) 아민 카르복실산의 2개 pKa의 평균값; (ii) 산성 아민 카르복실산(2개의 카르복실 기를 가짐)에서 2개의 최저 pKa의 평균값; 및 (iii) 염기성 아민 카르복실산(2개의 아민 기를 가짐)에서 2개의 최고 pKa의 평균값을 의미한다. "등전점"에 대한 보다 상세한 설명 및 그의 계산이 하기 실시예에 있다. 또한, 본원에서 사용될 때 용어 "전체 연마재의 pI"는 콜로이드성 실리카 입자의 분산액 1종 이상 각각의 pI의 가중 평균을 의미한다. 따라서, 콜로이드성 실리카 입자의 상기 분산액 1종이 존재한다면, 전체 연마재의 pI는 그 분산액의 pI와 동일하다: 상기 분산액 2종의 50/50 w/w 혼합물이 있고 상기 분산액 하나의 pI가 3.5 이고 상기 다른 분산액의 pI가 4.5이라면, 전체 연마재의 pI는 (3.5 x 0.5) + (4.5 x 0.5) 또는 4.0 이다.
본원에서 사용될 때 용어 "ISO"는 스위스 제네바에 있는 the International Organization for Standardization의 발행물을 의미한다.
본원에서 사용될 때 용어 "입자 크기(CPS)"는 CPS Instruments (네덜란드) 디스크 원심 시스템에 의해 결정된, 조성물의 중량 평균 입자 크기를 의미한다. 상기 입자는 용매 중에서 원심력을 이용하여 크기별로 분리되고 광학적 광 산란법을 이용하여 정량화된다.
본원에서 사용될 때 용어 "실리카 입자 고형물" 또는 "실리카 고형물"은, 특정 조성물의 경우에, 구형 실리카 입자의 총량과 세장형, 절곡형 또는 노듈형 실리카 입자의 총량을 합한 것이며, 이들 입자를 처리하고 있는 임의의 것을 포함한다.
본원에서 사용될 때 용어 "고형물"은 그의 물리적 상태에 상관없이 사용 조건에서 휘발되지 않는, 물 또는 암모니아 이외의 임의의 물질을 의미한다. 따라서, 사용 조건에서 휘발되지 않는 액상 아민 카르복실산 또는 첨가제가 "고형물"로 간주된다.
본원에서 사용될 때 용어 "강산"은 pKa 2 이하를 갖는 양성자성 산(protic acid), 예컨대 황산 또는 질산과 같은 무기산을 의미한다.
본원에서 사용될 때 용어 "사용 조건"은 사용동안 또는 사용의 결과로 온도 및 압력이 증가하는 것을 비롯하여 특정 조성물이 사용되는 온도 및 압력을 의미한다.
본원에서 사용될 때 용어 "실리카 중량 분율(weight fraction silica)"은 상기 조성물의 전체 중량/100%을 기준으로 한 실리카의 전체 중량%를 의미한다. 따라서, 실리카 30 중량%는 중량 분율 0.3과 동일하다.
본원에서 사용될 때 용어 "중량%"는 중량 퍼센트를 나타낸다.
본원에서 사용될 때 용어 "제타 전위"는 Malvern Zetasizer instrument에 의해 측정된, 특정 조성물의 전하를 의미한다. 다른 명시되지 않는 한, 모든 제타 전위 측정은 실시예에 기재된 바와 같이 (희석된) 슬러리 조성물에 대해 이루어졌다. 보고된 값은 각 명시된 조성물의 경우에 상기 장비에 의해 측정된 > 2O개 취득값을 사용하여 제타 값의 평균낸 측정치로부터 취한 것이었다.
본원에서 사용될 때, 용어 "세장형, 절곡형 또는 노듈형 실리카 입자"는 일반적인 당업계 숙련자들에게 알려진 임의의 방법, 예컨대 투과 전자 현미경(TEM)에 의해 또는 상기 입자 분산액의 제조업체에 의해 보고된 바와 같이 결정될 때, 최장 치수에 수직인 직경에 대한 상기 최장 치수인 종횡비를 1.8:1 내지 3:1로 갖는 실리카 입자를 의미한다.
본 발명자들은 놀랍게도, 양이온성 질소 원자를 함유하는 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 연마재 및 상기 전체 연마재의 pI와 동일하거나 또는 이보다 낮은 등전점을 갖는 아민 카르복실산의 수성 CMP 폴리싱 조성물이 이전에는 이루지 못한, 유전체 질화물 기판, 예컨대 질화 규소에 대해 유전체 산화물 기판, 예컨대 산화 규소의 제거율 선택도를 가능하게 함을 발견하였다.
본 발명에 따르면 상기 수성 CMP 폴리싱 조성물은 유전체 산화물:유전체 질화물 기판 제거율 선택도 비를 2:1 내지 150:1, 또는, 바람직하게는, 25:1 내지 150:1로 제공한다. 상기 선택도 비는 상기 바람직한 pH 3 내지 4에서 상기 바람직한 아민 카르복실산의 보다 높은 농도를 사용할 때 향상된다. 본 발명에 따른 방법은 상기 유전체 산화물:유전체 질화물 기판 제거율 선택도 비 2:1 내지 150:1, 또는, 바람직하게는, 25:1 내지 150:1의 제공을 가능하게 한다.
바람직하게, 본 발명에 따르면, 상기 유전체 산화물 및 유전체 질화물 기판은 각각 산화 규소 및 질화 규소이다.
본 발명에 따르면, 적합한 콜로이드성 실리카 조성물은 일정 분포의 복수개의 세장형, 절곡형 또는 노듈형 실리카 입자 또는 복수개의 구형 실리카 입자를 함유할 수 있는 혼합물을 생성시키도록 종래의 졸 겔 중합에 의해 또는 물 유리(water glass)의 현탁 중합(suspension polymerization)에 의해 제조된 실리카 분산액을 포함할 수 있다.
세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 적합한 분산액은 테트라에톡시실란(TEOS) 또는 테트라메톡시실란(TMOS)과 같은 전구체로부터 공지된 방식으로 형성된 실란올의 가수분해 축합에 의해 현탁 중합으로부터 제조된다. 상기 세장형, 절곡형 또는 노듈형 실리카 입자를 제조하기 위한 프로세스가 알려져 있으며, 예를 들면, Higuchi 등의 미국특허 제8,529,787호에서 찾을 수 있다. 상기 가수분해 축합은 염기성 촉매, 예컨대 알킬암모늄 하이드록사이드, 알콕시알킬 아민, 예컨대 에톡시프로필아민 (EOPA), 알킬아민 또는 KOH, 바람직하게, 테트라메틸암모늄 하이드록사이드의 존재하에 수성 현탁액 중에서 상기 전구체를 반응시키는 단계를 포함하고; 상기 가수분해 축합 프로세스는 양이온성 질소 원자 1개 이상을 상기 세장형, 절곡형 또는 노듈형 실리카 입자에 혼입시킬 수 있다. 바람직하게, 상기 세장형, 절곡형 또는 노듈형 실리카 입자는 pH 4 이하에서 양이온성이다.
절곡형 또는 노듈형 콜로이드성 실리카 입자의 적합한 분산액은 상품명 HL-2, HL-3, HL-4, PL-2, PL-3 또는 BS-2 및 BS-3 슬러리로, 일본 오사카에 있는 Fuso Chemical Co., Ltd.(Fuso)에서 입수가능하다. 다른 적합한 연마제는 HL-1 및 BS 시리즈 연마제, 예컨대 BS-1, BS-2 및 BS-3 (Fuso)를 포함한다. Fuso로부터의 상기 HL 및 BS 시리즈 입자는, pH 4 이하에서 양이온성 전하를 부여하는 질소 원자를 1개 이상 함유한다.
본 발명의 상기 수성 CMP 폴리싱 조성물의 콜로이드성 안정성을 확보하고 상기 아민 카르복실산의 상기 등전점이 상기 전체 연마재의 pI와 같거나 이보다 낮도록 하기 위해, 상기 조성물은 pH 2 내지 5 또는, 바람직하게는, 3 내지 4 범위를 갖는다. 상기 조성물은 상기 바람직한 pH 범위를 넘어서는 안정성을 잃는 경향이 있다. 또한, 상기 연마재는 양이온성 질소 원자를 가지며, 중성 실리카보다 더 높은 등전점을 갖는데, 본원에서 사용될 때 중성 실리카는 등전점 2.0을 갖는다.
본 발명에 따르는 상기 수성 CMP 폴리싱 조성물은 양의 제타 전위를 갖는다. 바람직하게는, 본 발명의 상기 수성 CMP 폴리싱 조성물은 제타 전위 5 내지 50 mV를 갖는다. 이러한 제타 전위는 상기 산화물 제거율을 증가시킴으로써 제거율 제어에 도움을 준다.
바람직하게는, CMP 폴리싱 동안에 상기 유전체 산화물의 디싱을 감소시키기 위해 본 발명의 상기 조성물은 양이온성 폴리머 예컨대 양이온성 코폴리머, 예를 들면, 반복단위의 코폴리머, 예컨대 이산화황과, 양이온성 질소를 갖는 다이알릴다이알킬아민 염, 예컨대 다이알릴다이메틸암모늄 할라이드, 양이온성 아민 기를 갖는 다이알릴아민 염, 예컨대, 다이알릴암모늄 할라이드, 또는 양이온성 아민 기를 갖는 다이알릴알킬아민 염, 예컨대 다이알릴알킬암모늄 염, 예를 들면 다이알릴알킬암모늄 할라이드 중 임의의 것, 바람직하게는, 다이알릴모노메틸암모늄 염의 코폴리머를 더 포함할 수 있다. 이러한 코폴리머는 폴리싱 시에 산화 규소 선택도 및 디싱 방지에 일조할 수 있다. 상기 양이온성 코폴리머의 양은 상기 조성물의 전체 중량을 기준으로 최대 0.1 중량% 범위이다. 상기 양이온성 코폴리머가 지나치게 많으면 상기 기판의 유전체 또는 실리카 표면을 패시베이션(passivate)할 수 있다. 본 발명의 상기 양이온성 코폴리머는, 산의 존재하에 또는 부재하에, 예를 들면 Yusuke 등의 미국특허 제9,006,383 B2호에 상세하게 기재된 바와 같은 부가 중합에 의해 제조될 수 있다.
본 발명의 상기 수성 CMP 폴리싱 조성물은 다른 양이온성 첨가제, 예컨대, 폴리아민을 전체 고형물을 기준으로 최대 1중량%의 양으로 포함할 수 있다.
또한, 적합한 첨가제는 예를 들면, 양이온성 아미노실란, 예컨대, 예를 들면, N-(2-아미노에틸)-3-아미노프로필트리메톡시실란, 98% (Gelest Inc., 미국 펜실베니아주 모리스빌) 또는 N,N-다이에틸아미노메틸)트리에톡시실란, 98%, (Gelest Inc.)을 또한 포함할 수 있다.
바람직하게, 본 발명의 상기 CMP 폴리싱은 본 발명의 상기 CMP 폴리싱 조성물을 이용하여 STI 프로세싱에서 수행되어, 바람직하게는 상기 질화 규소가 실질적으로 제거되고 상기 트렌치 내에 있는 유전체 또는 이산화 규소를 과도하게 침식 또는 디싱하지 않으면서 이산화 규소가 적절하게 평탄화되도록 한다.
사용시에, 웨이퍼 기판의 STI 프로세싱은 질화 규소 층이 증착되어 있는 실리콘 기판을 제공하는 단계를 포함한다. 포토리소그래피(photolithography) 이후에, 질화 규소의 상층(overlying layer)을 포함하는 기판 상에서 트렌치가 에칭되고, 과량의 유전체, 예를 들면 이산화 규소가 그 위에 증착된다. 이어서, 질화 규소의 표면 층이 실질적으로 제거될 때까지 상기 기판이 평탄화되어서, 상기 트렌치에 남아있는 상기 유전체 또는 산화 규소는 상기 트렌치의 엣지와 거의 같은 높이가 된다.
실시예 : 하기 실시예는 본 발명의 다양한 특징을 예시한다.
하기 실시예에서, 달리 명시되지 않는 한, 온도 및 압력 조건은 주위 온도 및 표준 압력이다.
하기 표 1에 기재된 것을 포함하여 하기 물질이 하기 실시예에서 사용되었다:
[표 1]
Figure pat00001
상기 실시예에서 사용된 다양한 실리카 입자가 상기 표 1에 열거되어 있다.
슬러리 B: 사용 시점에(POU) pH 4.5에서 2중량% 고형물 실리카를 함유하는 슬러리.
하기 약어가 하기 실시예에서 사용되었다:
POU: 사용 시점; RR: 제거율
아민 카르복실산의 등전점 : 아민 카르복실산의 등전점 pI는 상기 아민 카르복실산이 전기장 또는 전기영동 매질에서 이동하지 않는 pH 이다. pI를 정의하기 위해, pKa는 최저 pH에서 최고 pH로 수치가 지정된다. 중성 측쇄를 갖는 아민 카르복실산은 2개의 pKa: 상기 카르복실산에 대한 pKa1 및 상기 아민에 대한 pKa2를 특징으로 한다. 상기 pI는 이들 2개 pKa 사이의 중간값 또는 평균값, 즉, pI = 1/2 (pKa1 + pKa2)이다. pKa1보다 낮은 pH에서, 상기 아민 카르복실산은 전체적으로 양 전하를 갖고, pKa보다 높은 pH에서 상기 아민 카르복실산은 전체적으로 음 전하를 갖는다. 가장 단순한 아민 카르복실산인 글리신의 경우에 pKa1= 2.34 및 pKa2 = 9.6이고, pI = 5.97 이다. 산성 아민 카르복실산은 산성 측쇄를 갖는다. 상기 산성 측쇄는 과잉의 음 전하를 도입하기 때문에 상기 pI는 보다 낮은 pH에 있다. 예컨대, 아스파르트산의 경우에 2개의 산 pKa (pKa1과 pKa2)과 1개의 아민 pKa인 pKa3이 있다. 상기 pI는 두 개의 산 pKa 값 사이의 중간값, 즉, pI = 1/2 (pKa1 + pKa2) 이어서, pI = 2.77 이 된다. 염기성 측쇄는 과잉의 양 전하를 도입하기 때문에 염기성 아민 카르복실산은 보다 높은 pH에서 pI를 갖는다. 예를 들면, 히스티딘의 경우, pI는 두 암모니아 수소 pKa 값의 중간인데, pI = 1/2 (pKa2 + pKa3)이므로, pI = 7.59 이다. 많은 아미 카르복실산의 pI는 하기 표 2에 나타내었다.
[표 2]
Figure pat00002
하기 시험 방법이 하기 실시예에서 사용되었다:
POU에서의 pH: 사용 시점에서의 pH (POU에서의 pH)는 상기 명시된 농축물 조성물을 상기 명시된 고형물 함량이 되도록 물로 희석한 후에 제거율 테스트 동안에 측정된 것이었다.
실시예 1: 폴리싱 및 제거율: Strasburgh 6EC 200 mm 웨이퍼 폴리셔 또는 "6EC RR" (Axus Technology Company, 미국 아리조나주 챈들러)를 사용하여 다운포스 20.7 kPa (3 psi) 및 테이블과 캐리어 회전 속도 (rpm) 각각 93 및 87로, 1010 그루브 패턴을 갖는 IC1000TM CMP 폴리싱 패드(Dow, 미국 미시간주 미들랜드) 및 하기 표 3에 도시된 바와 같은 명시된 연마재 슬러리를 이용하여 특정 연마재 슬러리 유동 속도 200ml/min로, 테트라에톡시 실란 (TEOS) 및 질화 규소 기판 각각에 대한 폴리싱으로부터 블랭킷(blanket) 웨이퍼 제거율 테스트를 수행하였다. SEASOLTM AK45 AM02BSL8031C1 다이아몬드 패드 컨디셔너 디스크(Kinik Company, 대만)를 이용하여 상기 폴리싱 패드를 컨디셔닝하였다. 폴리싱 동안 다운포스 3.18 kg (7.0 lbf)를 이용하여 10 스위프(sweep)/min으로 상기 폴리싱 패드의 중심으로부터 4.32 cm부터 23.37cm까지 동일 위치(in situ)에서 상기 폴리싱 패드를 컨디셔닝하였다. 3 mm 엣지 배제(edge exclusion)하며 49 포인트 나사선 주사(49 point spiral scan)를 이용한 KLA-TencorTM FX200 계측 툴(KLA Tencor, 미국 캘리포니아주 밀피타스)을 이용하여 폴리싱하기 전과 후에 상기 필름 두께를 측정함으로써 상기 제거율을 결정하였다. 제거율 결과 및 이들의 비 (선택도)가 하기 표 3에 도시되어 있다.
[표 3]
Figure pat00003
상기 3에 도시된 바와 같이, 등전점 < 5를 갖는 아민 카르복실산을 갖는 실시예 1-1 내지 1-12의 상기 수성 연마재 슬러리 조성물은 모두 높은 산화물 RR을 달성하지만, 첨가제 농도 증가와 함께 SiN RR을 억제한다. 이러한 본 발명의 실시예는 특히 상기 조성물의 pH가 4.0 이하인 경우에 질화물에 대해 양호 내지 우수한 산화물 폴리싱 선택도를 제공한다. 그에 비해, 5보다 약간 높은 pI를 갖는 시스테인을 갖는 동일 조성물은 산화물 또는 질화물을 폴리싱하지 않는다. 따라서, 본 발명의 상기 아민 카르복실산의 사용은 119:1과 같이 높은 제거율 선택도를 달성할 수 있게 한다.
[표 4]
Figure pat00004
상기 표 4의 실시예 2-2 및 2-3에서 슬러리의 최종 pH를 상승시키기 위해 KOH 염기를 사용하였다. KOH는 피콜린산의 억제능에 영향을 준다. 상기 실시예는 아민 카르복실산 조성물에 염기를 첨가하는 것이 질화물 억제능에 영향을 준다는 것을 증명한다.
하기 표 5에서, STI 패턴 트렌치 산화물/디싱 제어 첨가제와 함께 최종 비축 슬러리(final stock slurries)를 제조하였다. pH를 낮추기 위해 실시예 3A에서 질산을 사용하였다. 또한, 양이온성 코폴리머가 첨가되었다: 제조업체 (PAS-A-5, Nitto Boseke Co. Ltd, 일본 후쿠시마)에 의해 보고된 중량 평균 분자량(MW) (폴리에틸렌 글리콜 표준물을 이용한 GPC) 4,000을 갖는, DADMAC와 이산화황의 1:1 코폴리머. 양이온성 코폴리머에 대한 상기 연마재의 고형물 중량비는 상기 조성물 모두에서 동일하다.
[표 5]
Figure pat00005
상기 표 5에서 사용된 살생물제는 KordekTM MLX (The Dow chemical Company, 미국 미시간주 미들랜드, 유효 성분: 메틸이소티아졸리논)이었다.
하기 표 6에서, POU 슬러리는 상기 표 5의 슬러리를 탈이온수로 희석하여 제조하였으며, 이들의 폴리싱 성능은 300mm 폴리셔, ReflexionTM (Applied Materials, 미국 캘리포니아주 산타 클라라) 상에서 평가하였다. 프로세스 조건은, 상기 유속 속도가 250ml/min이고 사용된 컨디셔너 디스크가 KinikTM AD3CS-211250-1FN (Kinik Company, 대만)인 점을 제외하고 실시예 1에 사용된 것과 동일하였다. 하기 표 6에서, CVD (화학 증기 증착) 방법은 플라즈마 (PECVD) 및 저압(LPCVD)을 포함한다: 상기 실험은 다양한 방법에 의해 제조된 기판으로부터 산화물:질화물의 제거율을 결정하려는 것이다.
[표 6]
Figure pat00006
STI 패턴 웨이퍼에 대한 성능 개선:
다단계 CMP 폴리싱 - P1 ( 제1 단계 ) 및 P2 (후속 단계): 두 단계 방법을 이용하여 MirraTM (200 mm) 폴리싱 기계 또는 "Mirra RR" (Applied Materials, 미국 캘리포니아주 산타 클라라) 상에서, MIT 마스크(SKW-3 웨이퍼, SKW, Inc. 미국 캘리포니아주 산타 클라라)와 함께, 명시된 피쳐 %(이는 웨이퍼 전체 면적에 대한 웨이퍼의 액티브 영역 혹은 고도 영역(high area)에 해당한다)를 갖는 STI 패턴 웨이퍼 기판을 폴리싱하였다. 두 단계 모든 방법은 1010TM 그루브 디자인(Dow)을 갖는 ICTM 폴리우레탄 폴리싱 패드(Dow, 쇼어 D (2초) 경도: 70) 및 상기 명시된 슬러리 조성물을 사용하여, 폴리싱 다운-포스 20.7 kPa (3 psi) 및 압반 속도 93 rpm 및 슬러리 유동 속도 150 ml/min을 이용함으로써 수행되었다. 폴리싱 동안, KinikTM AD3CS-211250-1FN 컨디셔닝 디스크(Kinik Company, 대만)에 의해 3.17 kg (7 lbf) 압력으로 100% 동일 위치(in situ) 컨디셔닝을 이용하여 상기 패드를 컨디셔닝하였다. CMP 폴리싱은, 제1 단계 또는 P1 프로세스에서, 슬러리 B (7.5X 배 희석됨)를 사용하여 오버버든(overburden) 고밀도 플라즈마 산화물(HDP) 필름을 제거하였다. 상기 웨이퍼의 미들 다이 상의 50% 패턴 밀도(PD) 피쳐에 대하여 완전한 평탄화가 이루어졌을 때 P1 폴리싱을 중지하였다. 이 시점에, HDP 필름 약 500 Å이 상기 50% 피쳐 상에 남아있었다. 그러나, 보다 작은 피쳐, 예컨대, 10% 내지 40% PD 피쳐 상에서, 상기 HDP 필름은 완전히 제거되었으며, 상기 기저 질화물 필름이 노출되었다. >50% PD를 갖는 피쳐는 상기 질화물 필름 상에 유의한 유전체 필름을 여전히 가졌다. P2로 이동하기 전에, OnTrakTM DSS-200 SynergyTM 툴(Lam Research, 미국 맬리포니아주 프레몬트) 상에서 SP100 세정 화학약품(TMAH 함유)을 이용하여 상기 패턴화된 웨이퍼를 세정하여서, 상기 웨이퍼로부터 슬러리 B 입자를 제거하였다. 본원에서 사용될 때 P2 폴리싱의 과폴리싱(overpolish) 시간은 질화 규소가 노출된 후에 상기 패턴 웨이퍼를 폴리싱하는데 주어지는 부가 시간으로 정의된다. 과폴리싱동안, 각 단계-폴리싱 상황에 대한 상이한 패턴 밀도 피쳐에 대하여 트렌치 산화물 손실 (A) 및 SiN 손실 (B)을 모니터링하였다.
하기 표 7A와 7B는 각각 40% PD 및 50% PD 피쳐 기판 상에서 실시예 3-2*, 3-4 및 3-6를 위한 P2 프로세스동안에 200mm STI 패턴화된 웨이퍼 상에서의 폴리싱 양태를 비교한다.
[표 7A]
Figure pat00007
[표 7B]
Figure pat00008
상기 표 7A 및 7B에 도시된 바와 같이, 아스파르트산 함유 연마재 슬러리는 비교예 3-2의 슬러리보다 현저히 낮은 SiN 손실 및 트렌치 산화물 손실을 나타내고; 그 효과는 아스파르트산 농도 증가와 함께 향상된다.

Claims (10)

  1. 수성 화학 기계적 평탄화 연마(CMP 연마) 조성물로서,
    양이온성 질소 원자를 함유하는 세장형(elongated), 절곡형(bent) 또는 노듈형(nodular) 콜로이드성 실리카 입자의 1종 이상의 분산액의 연마재와, 5 미만의 등전점(pI)을 갖는 1종 이상의 아민 카르복실산을 포함하되, 상기 조성물은 2 내지 5의 pH를 갖고, 또한, 상기 연마재 입자의 고형물로서의 양이 상기 조성물의 전체 중량을 기준으로 0.01 내지 20 중량%의 범위인, 수성 화학 기계적 평탄화 연마(CMP 연마) 조성물.
  2. 제1항에 있어서, 양이온성 질소 원자를 함유하는 상기 세장형, 절곡형, 노듈형 콜로이드성 실리카 입자의 1종 이상의 분산액이 상기 입자의 최장 치수 대 상기 최장 치수에 수직인 직경인 평균 입자 종횡비 1.8:1 내지 3:1을 갖는, 수성 CMP 연마 조성물.
  3. 제1항에 있어서, 상기 연마재가 양이온성 질소 원자를 함유하는 상기 세장형, 절곡형 또는 노듈형 콜로이드성 실리카 입자의 분산액과 구형(spherical) 콜로이드성 실리카 입자의 분산액의 혼합물을 포함하는, 수성 CMP 연마 조성물.
  4. 제1항에 있어서, 상기 콜로이드성 실리카 입자의 분산액에 있는 상기 연마재 콜로이드성 실리카 입자 범위의 중량 평균 입자 크기(CPS) 또는 1종 초과의 상기 분산액에 있는 상기 입자 크기의 가중 평균이 10 nm 내지 200 nm의 범위인, 수성 CMP 연마 조성물.
  5. 제1항에 있어서, 상기 1종 이상의 아민 카르복실산이 산성 아민 카르복실산 또는 피리딘산으로부터 선택되는, 수성 CMP 연마 조성물.
  6. 제5항에 있어서, 상기 1종 이상의 아민 카르복실산이 니코틴산, 피콜린산, 글루탐산 또는 아스파르트산으로부터 선택되는, 수성 CMP 연마 조성물.
  7. 제1항에 있어서, 상기 1종 이상의 아민 카르복실산의 전체 고형물의 양이 상기 조성물의 전체 중량을 기준으로 0.005 내지 5 중량%의 범위인, 수성 CMP 연마 조성물.
  8. 제1항에 있어서, 상기 조성물이 3 내지 4의 pH를 갖는, 수성 CMP 연마 조성물.
  9. 제1항에 있어서, 양이온성 코폴리머를 더 포함하는, 수성 CMP 연마 조성물.
  10. 제1항에 있어서, 양이온성 코폴리머의 전체 고형물의 양이 상기 조성물의 전체 중량을 기준으로 0.001 내지 0.1 중량%의 범위인, 수성 CMP 연마 조성물.
KR1020180108845A 2017-09-28 2018-09-12 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 및 아민 카르복실산 조성물 및 이의 사용 방법 KR102654089B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/719,038 US10711158B2 (en) 2017-09-28 2017-09-28 Aqueous silica slurry and amine carboxylic acid compositions for use in shallow trench isolation and methods of using them
US15/719,038 2017-09-28

Publications (2)

Publication Number Publication Date
KR20190037107A true KR20190037107A (ko) 2019-04-05
KR102654089B1 KR102654089B1 (ko) 2024-04-02

Family

ID=65806878

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180108845A KR102654089B1 (ko) 2017-09-28 2018-09-12 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 및 아민 카르복실산 조성물 및 이의 사용 방법

Country Status (5)

Country Link
US (1) US10711158B2 (ko)
JP (1) JP7274844B2 (ko)
KR (1) KR102654089B1 (ko)
CN (1) CN109575814B (ko)
TW (1) TWI793158B (ko)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7220522B2 (ja) * 2018-05-24 2023-02-10 株式会社バイコウスキージャパン 研磨砥粒、その製造方法、それを含む研磨スラリー及びそれを用いる研磨方法
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
KR20230063182A (ko) * 2021-11-01 2023-05-09 주식회사 케이씨텍 연마용 슬러리 조성물
WO2023171290A1 (ja) * 2022-03-08 2023-09-14 株式会社フジミインコーポレーテッド 研磨用組成物

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100065386A (ko) * 2007-09-21 2010-06-16 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
KR20100074207A (ko) * 2007-09-21 2010-07-01 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
KR20110007142A (ko) * 2008-04-23 2011-01-21 히다치 가세고교 가부시끼가이샤 연마제 및 이 연마제를 이용한 기판의 연마방법
KR20160013077A (ko) * 2013-05-21 2016-02-03 캐보트 마이크로일렉트로닉스 코포레이션 높은 제거율 및 낮은 결함성으로 산화물 및 질화물에 대해 선택적인 cmp 조성물
KR20170021320A (ko) * 2014-06-25 2017-02-27 캐보트 마이크로일렉트로닉스 코포레이션 콜로이드성 실리카 화학적-기계적 연마 조성물
KR20170026492A (ko) * 2014-06-25 2017-03-08 캐보트 마이크로일렉트로닉스 코포레이션 구리 배리어 화학적-기계적 연마 조성물

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7316603B2 (en) 2002-01-22 2008-01-08 Cabot Microelectronics Corporation Compositions and methods for tantalum CMP
JP4954462B2 (ja) 2004-10-19 2012-06-13 株式会社フジミインコーポレーテッド 窒化シリコン膜選択的研磨用組成物およびそれを用いる研磨方法
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7902072B2 (en) * 2006-02-28 2011-03-08 Fujifilm Corporation Metal-polishing composition and chemical-mechanical polishing method
US8759216B2 (en) * 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
JP2008192930A (ja) * 2007-02-06 2008-08-21 Fujifilm Corp 金属研磨用組成物及びそれを用いた化学的機械的研磨方法
SG10201401549SA (en) 2009-06-22 2014-06-27 Cabot Microelectronics Corp CMP Compositions And Methods For Suppressing Polysilicon Removal Rates
US8492277B2 (en) 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc Method of polishing a substrate comprising polysilicon and at least one of silicon oxide and silicon nitride
KR101243331B1 (ko) * 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
US8808573B2 (en) * 2011-04-15 2014-08-19 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
TWI573864B (zh) 2012-03-14 2017-03-11 卡博特微電子公司 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物
US8999193B2 (en) * 2012-05-10 2015-04-07 Air Products And Chemicals, Inc. Chemical mechanical polishing composition having chemical additives and methods for using same
US8778212B2 (en) 2012-05-22 2014-07-15 Cabot Microelectronics Corporation CMP composition containing zirconia particles and method of use
US9633863B2 (en) * 2012-07-11 2017-04-25 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
SG11201501334RA (en) * 2012-08-30 2015-05-28 Hitachi Chemical Co Ltd Polishing agent, polishing agent set and method for polishing base
RU2669598C2 (ru) * 2013-07-11 2018-10-12 Басф Се Композиция для химико-механической полировки (cmp), содержащая бензотриазольные производные в качестве ингибиторов коррозии
US9303187B2 (en) * 2013-07-22 2016-04-05 Cabot Microelectronics Corporation Compositions and methods for CMP of silicon oxide, silicon nitride, and polysilicon materials
KR20160114709A (ko) * 2014-01-31 2016-10-05 바스프 에스이 폴리(아미노산)을 포함하는 화학 기계적 연마(cmp) 조성물
US9752057B2 (en) * 2014-02-05 2017-09-05 Cabot Microelectronics Corporation CMP method for suppression of titanium nitride and titanium/titanium nitride removal
JP6612789B2 (ja) * 2014-06-25 2019-11-27 キャボット マイクロエレクトロニクス コーポレイション タングステンの化学機械研磨組成物
KR101905371B1 (ko) 2015-09-30 2018-10-05 가부시키가이샤 후지미인코퍼레이티드 연마용 조성물
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
KR20170076191A (ko) * 2015-12-24 2017-07-04 주식회사 케이씨텍 연마입자-분산층 복합체 및 그를 포함하는 연마 슬러리 조성물

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20100065386A (ko) * 2007-09-21 2010-06-16 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
KR20100074207A (ko) * 2007-09-21 2010-07-01 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
KR20110007142A (ko) * 2008-04-23 2011-01-21 히다치 가세고교 가부시끼가이샤 연마제 및 이 연마제를 이용한 기판의 연마방법
KR20160013077A (ko) * 2013-05-21 2016-02-03 캐보트 마이크로일렉트로닉스 코포레이션 높은 제거율 및 낮은 결함성으로 산화물 및 질화물에 대해 선택적인 cmp 조성물
KR20170021320A (ko) * 2014-06-25 2017-02-27 캐보트 마이크로일렉트로닉스 코포레이션 콜로이드성 실리카 화학적-기계적 연마 조성물
KR20170026492A (ko) * 2014-06-25 2017-03-08 캐보트 마이크로일렉트로닉스 코포레이션 구리 배리어 화학적-기계적 연마 조성물

Also Published As

Publication number Publication date
CN109575814A (zh) 2019-04-05
JP2019070112A (ja) 2019-05-09
CN109575814B (zh) 2021-02-12
TWI793158B (zh) 2023-02-21
US20190092973A1 (en) 2019-03-28
JP7274844B2 (ja) 2023-05-17
KR102654089B1 (ko) 2024-04-02
US10711158B2 (en) 2020-07-14
TW201915134A (zh) 2019-04-16

Similar Documents

Publication Publication Date Title
KR102654089B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 및 아민 카르복실산 조성물 및 이의 사용 방법
TW201910456A (zh) 具有可調選擇性之低研磨cmp漿料組合物
JP7231365B2 (ja) 研磨における選択的窒化物除去のための水性アニオン性官能性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法
KR102649773B1 (ko) 얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
CN109593473B (zh) 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法
KR102611005B1 (ko) 얕은 트렌치 소자격리에서 사용하기 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
JP7231364B2 (ja) 研磨における選択的窒化物除去のための水性シリカスラリー及びアミンカルボン酸組成物並びにその使用方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant