CN109575814B - 用于浅沟槽隔离的水性二氧化硅浆料和胺羧酸组合物及其使用方法 - Google Patents

用于浅沟槽隔离的水性二氧化硅浆料和胺羧酸组合物及其使用方法 Download PDF

Info

Publication number
CN109575814B
CN109575814B CN201811011725.5A CN201811011725A CN109575814B CN 109575814 B CN109575814 B CN 109575814B CN 201811011725 A CN201811011725 A CN 201811011725A CN 109575814 B CN109575814 B CN 109575814B
Authority
CN
China
Prior art keywords
composition
chemical mechanical
amine carboxylic
colloidal silica
mechanical planarization
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811011725.5A
Other languages
English (en)
Other versions
CN109575814A (zh
Inventor
N·K·彭塔
李姿丰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials CMP Holdings Inc
Original Assignee
Rohm and Haas Electronic Materials CMP Holdings Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials CMP Holdings Inc filed Critical Rohm and Haas Electronic Materials CMP Holdings Inc
Publication of CN109575814A publication Critical patent/CN109575814A/zh
Application granted granted Critical
Publication of CN109575814B publication Critical patent/CN109575814B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本发明提供水性化学机械平坦化抛光(CMP抛光)组合物,例如用于半导体衬底,其包含一种或多种含有阳离子氮原子的细长、弯曲或结节状胶态二氧化硅颗粒分散体的研磨剂,和一种或多种具有低于5的等电点(pI)的胺羧酸,优选酸性胺羧酸或吡啶酸,其中所述组合物具有2到5的pH。所述组合物能够以高氧化物:氮化物去除速率比进行抛光。

Description

用于浅沟槽隔离的水性二氧化硅浆料和胺羧酸组合物及其使 用方法
本发明涉及水性化学机械平坦化(CMP)抛光组合物,所述组合物包含一种或多种细长、弯曲或结节状胶态二氧化硅颗粒分散体或其与一种或多种球形胶态二氧化硅颗粒分散体的混合物的研磨剂和一种或多种具有低于5的等电点(pI)的胺羧酸,所述组合物具有2到5的pH。
在前段工序(front-end-of-line,FEOL)半导体加工中,浅沟槽隔离(STI)对于集成电路制造中栅极的形成是至关重要的,例如在晶体管形成之前。在STI中,电介质如原硅酸四乙酯(TEOS)或二氧化硅过量沉积在形成于硅晶片中的开口中,例如,通过氮化硅(SiN)阻挡层与集成电路的其余部分相隔离的沟槽或隔离区。然后使用CMP工艺以移除过量的电介质,产生在硅晶片上镶嵌有预定图案的电介质的结构。用于STI的 CMP需要从隔离区移除和平坦化二氧化硅覆盖层,从而产生具有经二氧化硅填充的沟槽的共面表面。在STI中,必须清除氮化硅膜表面的二氧化硅或氧化硅,以允许随后在下游加工中去除氮化物硬掩模。可接受的氧化物:氮化物去除速率比是必要的,以防止对下伏硅Si有源区造成损坏并提供过度抛光余量以确保清除所有图案密度的氧化物。此外,必须避免任何沟槽中氧化物的凹陷,以防止完成的栅极中的低阈值电压泄漏。
目前,与用以抛光衬底的CMP抛光垫一起使用的水性化学、机械平坦化抛光(CMP抛光)组合物的使用者希望避免使用含二氧化铈的CMP抛光组合物。二氧化铈浆料对二氧化硅的选择性高于氮化硅,并且在暴露氮化硅时避免去除沟槽区中的氧化物,但是成本高,具有RR和工艺稳定性的问题,并且在抛光期间易于产生缺陷。二氧化硅浆料调配物提供了更低成本、无缺陷的解决方案,但迄今为止,对于在STI应用中使用来说,有着无法令人满意的氧化物凹陷控制和不充分的氧化物:氮化物选择性问题。
Grumbine等人的美国专利第US9,499,721B2号公开了一种用于抛光衬底的化学机械抛光组合物,所述组合物包含胶态二氧化硅分散体,所述分散体可具有带永久正电荷的颗粒和一种或多种并入所述颗粒中的化学物种。二氧化硅颗粒中的化学物种可以是含氮化合物,优选氨基硅烷,或含磷化合物。在数百种此类化学物种中,含氮化合物可以是胺羧酸;然而,Grumbine没有公开任何这样的组合物:其中任何胺羧酸增加介电氧化物: 介电氮化物去除速率的选择性。此外,Grumbine似乎需要二氧化硅颗粒中的化学物种和并非胺羧酸的单独的硅氮抛光抑制剂添加剂两者。
本发明人致力于解决提供水性二氧化硅浆料的问题,所述水性二氧化硅浆料能够实现用于STI应用中的可接受的氧化物凹陷控制和氧化物:氮化物去除速率选择性,以及使用所述浆料的方法。
发明内容
1.根据本发明,水性化学机械平坦化抛光(CMP抛光)组合物包含一种或多种含有阳离子氮原子的细长、弯曲或结节状胶态二氧化硅颗粒分散体,优选例如所述颗粒最长维度与其垂直于所述最长维度的直径的平均颗粒纵横比为1.8:1到3:1的分散体,或其与球形胶态二氧化硅颗粒分散体的混合物的研磨剂,和一种或多种具有低于5的等电点 (pI)的胺羧酸,优选酸性胺羧酸或吡啶酸,或优选pI为2.0-4.5的胺羧酸,其中所述组合物具有2到5或优选地3到4的pH,并且,进一步其中,作为固体的所述研磨剂颗粒的量按所述组合物的总重量计在0.01重量%到20重量%的范围内,或优选在0.1重量%到15重量%的范围内,或更优选在0.5重量%到2.5重量%的范围内。
2.根据如上第1项所述的水性CMP抛光组合物,其中所述研磨剂包含含有阳离子氮原子的细长、弯曲或结节状胶态二氧化硅颗粒分散体与球形胶态二氧化硅颗粒分散体的混合物,其中所述细长、弯曲或结节状胶态二氧化硅颗粒分散体的量按所述研磨剂的总固体重量计在80重量%到99.9重量%的范围内,或优选在95重量%到99.9重量%的范围内。
3.根据如上第1项或第2项中任一项所述的水性CMP抛光组合物,其中所述研磨胶态二氧化硅颗粒的重量平均粒度(CPS)在所述胶态二氧化硅颗粒分散体范围内或其超过一种此类分散体中此类粒度的加权平均值在10nm到200nm的范围内,或优选在 25nm到80nm的范围内。
4.根据如上第1项、第2项或第3项中任一项所述的水性CMP抛光组合物,其中所述一种或多种胺羧酸选自酸性胺羧酸或吡啶酸,或更优选地,选自烟酸、吡啶甲酸、谷氨酸或天冬氨酸。
5.根据如上第4项所述的水性CMP抛光组合物,其中所述一种或多种胺羧酸的总固体量在0.005重量%到5重量%的范围内,其中,优选地,酸性胺羧酸以0.01重量%到1重量%的量,或更优选以0.02重量%到0.5重量%的量存在,或优选地,胺羧酸为吡啶酸(不包括吡啶二羧酸),例如,呈0.04重量%到3重量%,或更优选0.08重量%到2重量%的量,所有重量%均按所述组合物的总重量计。
6.根据如上第1项、第2项、第3项、第4项或第5项中任一项所述的水性CMP 抛光组合物,其中所述组合物进一步包含一种或多种阳离子共聚物,例如二烯丙基二烷基胺盐、二烯丙基烷基胺盐或二烯丙基胺盐中的任一种和如二氧化硫的非离子单体的阳离子共聚物。
7.根据本发明的另一个方面,水性CMP抛光组合物的使用方法包含使用CMP抛光垫和如上第1项到第6项中任一项所述的水性CMP抛光组合物抛光衬底。
8.根据如上第6项所述的本发明方法,其中所述衬底包含二氧化硅或四乙氧基硅酸盐(TEOS)和氮化硅,如SiN或Si3N4或其混合物,并且所述抛光产生至少8:1,例如 8:1到100:1,或优选至少50:1,例如30:1到70:1的氧化物:氮化物去除速率比。
9.根据如上第7项或第8项中任一项所述的用于抛光衬底的本发明方法,其中所述抛光下压力在10.3kPa(1.5psi)到41.5kPa(6psi)的范围内,或优选地在12kPa(1.8 psi)到36kPa(5.2psi)的范围内。
10.根据如上第7项、第8项或第9项中任一项所述的用于抛光衬底的本发明方法,其中所述CMP抛光组合物包含总计0.5重量%到5重量%,或优选地1重量%到3重量%的细长、弯曲或结节状胶态二氧化硅颗粒、球形胶态二氧化硅颗粒或其混合物的分散体的总固体含量。所述CMP抛光组合物可以浓缩物形式储存和运输,然后在抛光衬底时用水稀释。
除非另外指示,否则温度和压力的条件均为环境温度和标准压力。所有所述的范围均是包括性并且可组合的。
除非另外指示,否则含有圆括号的任何术语都可以替代地指全部术语,如同圆括号不存在和术语没有圆括号一样,以及每个替代方案的组合。因此,术语“(聚)异氰酸酯”是指异氰酸酯、聚异氰酸酯或其混合物。
所有范围均具有包括性和可组合性。例如,术语“50cp到3000cp或100cp或更大 cp的范围”将包括50cp到100cp、50cp到3000cp以及100cp到3000cp中的每一个。
如本文所用,术语“胺羧酸”意指含有至少一个羧基和至少一个胺或氨基的任何有机化合物。因此,胺羧酸不限于天然存在的氨基酸或仅形成肽键的那些氨基酸。例如,吡啶羧酸是不太可能形成肽键的胺羧酸。
如本文所用,术语“ASTM”是指宾夕法尼亚州西康舍霍肯ASTM国际组织(ASTMInternational,West Conshohocken,PA)的出版物。
如本文所用,术语“胶体稳定的”意指所指定组合物不发生胶凝或沉淀,且在指定的时间后以及在指定的温度下,在目视检验时保持透明。
如本文所用,对于胺羧酸,术语“等电点”或“pI”是胺羧酸在电场或电泳介质中不迁移时的pH;pI是指(i)中性胺羧酸中两个pKa的平均值;(ii)酸性胺羧酸(其具有2 个羧基)中两个最低pKa的平均值;以及(iii)碱性胺羧酸(其具有两个胺基)中两个最高pKa的平均值。在以下实例中详细解释了“等电点”及其计算。此外,如本文所用,术语“全部研磨剂的pI”意指一种或多种胶态二氧化硅颗粒分散体中的每一种的pI的加权平均值。因此,如果存在一种此类胶态二氧化硅颗粒分散体,那么所述全部研磨剂的 pI等于所述分散体的pI;如果存在50/50w/w的两种此类分散体的混合物,并且一种此类分散体的pI是3.5,而另一种此类分散体的pI是4.5,那么所述全部研磨剂的pI是 (3.5×0.5)+(4.5×0.5)或4.0。
如本文所用,术语“ISO”是指瑞士日内瓦国际标准化组织(InternationalOrganization for Standardization,Geneva,CH)的出版物。
如本文所用,术语“粒度(CPS)”意指组合物的重量平均粒度,如利用CPSInstruments (荷兰)盘式离心机系统所测定。溶剂中的颗粒利用离心力根据尺寸来分离且利用光学光散射来量化。
如本文所用,术语“二氧化硅颗粒固体”或“二氧化硅固体”意指对于指定的组合物来说,球形二氧化硅颗粒的总量加上细长、弯曲或结节状二氧化硅颗粒的总量,包括与任何那些颗粒一起处理的任何物质。
如本文所使用,术语“固体”意指除水或氨外的任何材料,其不在使用条件下挥发,无论其物理状态如何。因此,液态胺羧酸,或在使用条件下不挥发的添加剂被认为是“固体”。
如本文所用,术语“强酸”是指具有2或更小的pKa的质子酸,如无机酸,如硫酸或硝酸。
如本文所用,术语“使用条件”意指使用所指定组合物时的温度和压力,包括使用期间或作为使用结果的温度和压力增加。
如本文所用,术语“二氧化硅重量分率”意指按所述组合物总重量计的二氧化硅总重量%/100%。因此,30重量%二氧化硅等于0.3的重量分率。
如本文所用,术语“wt.%”表示重量百分比。
如本文所用,术语“ζ电位”是指所指定组合物的电荷,如用Malvern Zetasizer仪器所测量。除非另有指明,否则所有ζ电位测量均是对如实例中所述的(稀释的)浆料组合物进行的。对于每一指定组合物,使用仪器进行>20次采集,由ζ值的平均测量结果获取报道值。
如本文所用,术语“细长、弯曲或结节状二氧化硅颗粒”是指具有例如1.8:1到3:1的最长维度与其垂直于最长维度的直径的纵横比的二氧化硅颗粒,如通过普通技术人员已知的任何方法,例如透射电子显微镜(TEM)所测定,或如颗粒分散体的制造商所报道。
本发明人惊奇地发现,含有阳离子氮原子的细长、弯曲或结节状胶态二氧化硅颗粒研磨剂和具有等于或小于全部研磨剂的pI的等电点的胺羧酸的水性CMP抛光组合物实现了从未实现过的介电氧化物衬底(例如氧化硅)与介电氮化物衬底(例如氮化硅)的去除速率选择性。
根据本发明的水性CMP抛光组合物提供的介电氧化物:介电氮化物衬底去除速率选择性比为2:1到150:1,或优选25:1到150:1。在优选的3到4的pH下并且当使用较高浓度的优选的胺羧酸时,选择性比得到改善。根据本发明的方法能够提供的介电氧化物: 介电氮化物衬底去除速率选择性比为2:1到150:1,或优选25:1到150:1。
优选地,根据本发明,介电氧化物和介电氮化物衬底分别是氧化硅和氮化硅。
根据本发明,合适的胶态二氧化硅组合物可包含通过常规溶胶凝胶聚合或通过水玻璃的悬浮聚合制备的二氧化硅分散体,以产生多个细长、弯曲或结节状二氧化硅颗粒,其分布或混合物可包括多个球形二氧化硅颗粒。
合适的细长、弯曲或结节状胶态二氧化硅颗粒分散体是通过以已知方式从前体,如四乙氧基硅烷(TEOS)或四甲氧基硅烷(TMOS)形成的硅烷醇的水解缩合进行的悬浮聚合制备的。用于制备细长、弯曲或结节状二氧化硅颗粒的方法已为人知且可以发现于例如Higuchi等人的美国专利第8,529,787号中。水解缩合包含在碱性催化剂如氢氧化烷基铵、烷氧基烷基胺如乙氧基丙胺(EOPA)、烷基胺或KOH,优选地,氢氧化四甲基铵存在下使所述前体在水性悬浮液中反应;水解缩合过程可以将一个或多个阳离子氮原子结合到细长、弯曲或结节状二氧化硅颗粒中。优选地,所述细长、弯曲或结节状二氧化硅颗粒在pH为4或低于4时是阳离子的。
弯曲或结节状胶态二氧化硅颗粒的合适分散体可以商标名HL-2、HL-3、HL-4、PL-2、 PL-3或BS-2和BS-3浆料购自日本大阪的扶桑化学株式会社(Fuso Chemical Co.,Ltd.,Osaka,JP)(扶桑)。其它合适的研磨剂包括HL-1和BS系列研磨剂,例如BS-1、BS-2 和BS-3(扶桑)。来自扶桑的HL和BS系列颗粒含有在pH 4或低于4时赋予阳离子电荷的一个或多个氮原子。
为确保本发明的所述水性CMP抛光组合物的胶体稳定性并确保胺羧酸的等电点等于或小于全部研磨剂的pI,所述组合物的pH在2到5的范围内,或优选在3到4的范围内。高于期望的pH范围时,所述组合物倾向于失去其稳定性。此外,具有阳离子氮原子的所述研磨剂将比中性二氧化硅具有更高的等电点,如本文所用具有2.0的等电点。
根据本发明的所述水性CMP抛光组合物具有正ζ电位。优选地,本发明的所述水性CMP抛光组合物具有5mV到50mV的ζ电位。此类ζ电位有助于通过提高氧化物去除速率来控制去除速率。
优选地,为了在CMP抛光期间减少介电氧化物的凹陷,本发明的所述组合物可进一步包含阳离子聚合物,例如阳离子共聚物,例如,如二氧化硫的重复单元与以下中的任一种的共聚物:具有阳离子氮的二烯丙基二烷基胺盐,如卤化二烯丙基二甲基铵、具有阳离子胺基的二烯丙基胺盐,如卤化二烯丙基铵、或具有阳离子胺基的二烯丙基烷基胺盐,如二烯丙基烷基铵盐,例如,卤化二烯丙基烷基铵,优选二烯丙基单甲基铵盐。此类共聚物可有助于氧化硅选择性以及防止抛光中的凹陷。所述阳离子共聚物的量按所述组合物的总重量计在最多0.1重量%的范围内。过多的阳离子共聚物可钝化所述衬底的电介质或二氧化硅表面。本发明的所述阳离子共聚物可以在存在或不存在酸的情况下通过加成聚合来制备,例如,正如Yusuke等人的美国专利第9,006,383B2号中所详述的。
本发明的所述水性CMP抛光组合物可包含其它阳离子添加剂,例如多胺,其量按总固体量计至多1重量%。
合适的添加剂还可包括,例如,阳离子氨基硅烷,例如N-(2-氨基乙基)-3-氨基丙基三甲氧基硅烷,98%(Gelest Inc.,宾夕法尼亚州莫里斯维尔(Morrisville,PA))或N,N-二乙基氨基甲基)三乙氧基硅烷,98%(Gelest Inc.)。
期望的是,本发明的所述CMP抛光是在STI加工中用本发明的所述CMP抛光组合物进行的,优选使得氮化硅得以基本上去除并且二氧化硅经充分平坦化,且沟槽内的电介质或二氧化硅没有过度侵蚀或凹陷。
在使用中,晶片衬底的STI加工涉及提供其上沉积有氮化硅层的硅衬底。在光刻之后,将沟槽蚀刻到包含氮化硅上覆层的衬底上,并且在其上沉积过量的电介质,例如二氧化硅。然后对衬底进行平坦化,直到基本上去除氮化硅表面层,使得留在沟槽中的电介质或氧化硅与沟槽的边缘大致齐平。
实例:以下实例说明本发明的各种特征。
在以下实例中,除非另外指明,否则温度和压力条件是环境温度和标准压力。
以下材料,包括下表1中列出的那些,用于以下实例中:
表1:二氧化硅和其它研磨剂颗粒
Figure BDA0001785267450000071
1.日本大阪的扶桑化学(Fuso Chemical,Osaka,JP);2.在pH为4.0时测定的电荷和用TMOS和含胺碱性催化剂,如氢氧化四甲铵形成的阳离子颗粒;3.如源自来源的pH。
实例中所用的各种二氧化硅颗粒列于上表1中。
浆料B:在使用时(POU)在pH 4.5下的含有2重量%固体二氧化硅的浆料。
以下实例中使用以下缩写:
POU:使用时;RR:去除速率。
胺羧酸的等电点:胺羧酸的等电点(pI)是胺羧酸在电场或电泳介质中不迁移时的pH。为了定义pI,pKa被指定为从最低pH到最高pH的数值。具有中性侧链的胺羧酸的特征在于有两个pKa:羧酸的pKa1和胺的pKa2。pI将是这两个pKa的中间值或平均值,即pI=1/2(pKa1+pKa2)。在低于pKa1的pH下,所述胺羧酸将具有整体正电荷,并且在高于pKa的pH下,所述胺羧酸将具有整体负电荷。对于最简单的胺羧酸、甘氨酸,pKa1=2.34,而pKa2=9.6,pI=5.97。酸性胺羧酸具有酸性侧链。pI将处于较低的pH,因为酸性侧链引入额外的负电荷。例如,对于天冬氨酸,存在两个酸性pKa(pKa1和pKa2)和一个胺pKa,即pKa3。pI是两个酸pKa值之间的中间值,即pI=1/2(pKa1+ pKa2),因此pI=2.77。碱性胺羧酸在较高pH下具有pI,因为碱性侧链引入额外的正电荷。例如,对于组氨酸,pI是两个氨氢pKa值之间的中间值,pI=1/2(pKa2+pKa3),因此pI=7.59。许多胺羧酸的pI显示在下表2中。
表2:胺羧酸的Pka和等电点
<u>胺羧酸</u> <u>pKa1</u> <u>pKa2</u> <u>pKa3</u> <u>pI</u>
2,3-吡啶二羧酸(喹啉)酸 0.29 2.43 4.78 1.36
天冬氨酸 1.88 3.65 9.6 2.77
谷氨酸 2.19 4.25 9.67 3.22
烟酸 2 4.85 3.425
吡啶甲酸 1.07 5.25 3.16
半胱氨酸 1.96 8.18 --- 5.07
天冬酰胺 2.02 8.8 --- 5.41
苯丙氨酸 1.83 9.13 --- 5.48
苏氨酸 2.09 9.1 --- 5.6
谷氨酰胺 2.17 9.13 --- 5.65
酪氨酸 2.2 9.11 --- 5.66
丝氨酸 2.21 9.15 --- 5.68
甲硫氨酸 2.28 9.21 --- 5.74
色氨酸 2.83 9.39 --- 5.89
缬氨酸 2.32 9.62 --- 5.96
甘氨酸 2.34 9.6 --- 5.97
亮氨酸 2.36 9.6 --- 5.98
丙氨酸 2.34 9.69 --- 6
异亮氨酸 2.36 9.6 --- 6.02
脯氨酸 1.99 10.6 --- 6.3
组氨酸 1.82 6 9.17 7.59
赖氨酸 2.18 8.95 10.53 9.74
精氨酸 2.17 9.04 12.48 10.76
以下实例中使用了以下测试方法:
POU的pH:使用时的pH(POU的pH)是在用水将指定浓缩组合物稀释到指定固体含量之后的去除速率测试期间测量的。
实例1:抛光和去除速率:在20.7kPa(3psi)的下压力下,使用Strasburgh 6EC 200mm晶片抛光机或“6EC RR”(亚利桑那州昌德勒的华硕科技公司(Axus TechnologyCompany,Chandler,AZ))对四乙氧基硅烷(TEOS)和氮化硅衬底中的每一个进行抛光的空白晶片去除速率测试,且工作台和载体转速(rpm)分别为93和87,且其中IC1000TM CMP抛光垫具有1010凹槽图案(密歇根州米德兰的陶氏公司(Dow,Midland,MI)),且如下表3所示的指定的研磨浆料的给定研磨浆料流速为200ml/min。SEASOLTM AK45 AM02BSL8031C1钻石垫修整器盘(中国 台湾的中国砂轮公司(Kinik Company,Taiwan)用于修整所述抛光垫。所述抛光垫在抛光期间使用3.18kg(7.0lbf)的下压力,按10次扫掠/分钟从距离抛光垫中心的4.32cm到23.37cm进行原位修整。去除速率通过使用 KLA-TencorTM FX200计量工具(加利福尼亚州米尔皮塔斯的美商科磊(KLA-Tencor, Milpitas,CA)),使用49点螺旋扫描(排除3mm边缘)测量抛光之前和之后的膜厚度来测定。去除速率结果及其比率(选择性)显示在下表3中。
表3:浆料调配物详情、去除速率(RR)和选择性
Figure BDA0001785267450000091
*-表示比较实例。
如上述3中所示,实例1-1到1-12中具有等电点<5的胺羧酸的水性研磨浆料组合物均实现高氧化物RR,但随着添加剂浓度增加,抑制SiN RR。此类本发明实例提供了良好到优异的氧化物与氮化物抛光选择性,特别是当组合物的pH值处于4.0或低于4.0 时。相比而言,具有pI刚好大于5的半胱氨酸的相同组合物不会抛光氧化物或氮化物。因此,使用本发明的胺羧酸能够实现高达119:1的去除速率选择性。
表4:浆料调配物详情、去除速率(RR)和选择性
Figure BDA0001785267450000101
*-表示比较实例。
在上表4的实例2-2和2-3中,使用KOH碱提高浆料的最终pH。KOH影响吡啶甲酸的抑制能力。所述实例表明向胺羧酸组合物中加入碱会影响氮化物抑制能力。
在下表5中,制备最终储备浆料以及STI图案沟槽氧化物/凹陷控制添加剂。在实例3A中使用硝酸来降低pH。此外,添加阳离子共聚物:DADMAC和二氧化硫1:1的共聚物,具有4,000的重均分子量(MW)(使用聚乙二醇标准品的GPC),如制造商(PAS-A-5,日本福岛的日东纺织株式会社(Nitto Boseke Co.Ltd,Fukushima,JP))所报道。在所有所述组合物中,研磨剂与阳离子共聚物固体重量比相同。
表5:适用于STI应用的浓缩组合物.
Figure BDA0001785267450000102
*-表示比较实例。
上表5中使用的杀生物剂是KordekTM MLX(密歇根州米德兰的陶氏化学公司(TheDow chemical Company,Midland,MI),活性组分:甲基异噻唑啉酮)。
在下表6中,通过用去离子水稀释上表5中的浆料制备POU浆料,并且在300mm 抛光机ReflexionTM(加利福尼亚州圣克拉拉的应用材料公司(Applied Materials,SantaClara,CA))上评估其抛光性能。工艺条件与实例1中使用的相同,不同的是流速为250 毫升/分钟,且所用的修整器盘是KinikTM AD3CS-211250-1FN(中国 台湾的中国砂轮公司)。下表6中,CVD(化学气相沉积)方法包括等离子体增强(PECVD)和低压(LPCVD);实验试图测定从利用各种方法制得的衬底去除氧化物:氮化物的速率。
表6:POU浆料调配物详情、去除速率(RR)和选择性
Figure BDA0001785267450000111
*-表示比较实例。
STI图案晶片的性能改进:
多步CMP抛光-P1(第一步)和P2(后续步骤):具有MIT掩模的具有特定部件%(其相当于晶片中有源区或高区的面积相对于其总面积)的STI图案晶片衬底(SKW-3 晶片,SKW,Inc.,加利福尼亚州圣克拉拉(Santa Clara,CA))在MirraTM(200mm)抛光机或“Mirra RR”(加利福尼亚州圣克拉拉的应用材料公司)上使用两步法抛光。两个步骤方法均使用具有1010TM凹槽设计(陶氏公司)的ICTM聚氨酯抛光垫(陶氏公司,肖氏D(Shore D)(2秒)硬度:70)和指定的浆料组合物,使用20.7kPa(3psi)的抛光下压力和93rpm的压板速度和150毫升/分钟的浆料流速进行。在抛光期间,所述垫使用KinikTM AD3CS-211250-1FN修整盘(中国台湾的中国砂轮公司)在3.17kg(7lbf)压力下使用100%原位修整进行修整。进行CMP抛光,使得在第一步骤或P1工艺中,使用浆料B(7.5×倍稀释)去除覆盖的高密度等离子体氧化物(HDP)膜。当在晶片的中间芯片块上的50%图案密度(PD)部件上实现完全平坦化时,停止P1抛光。此时,约
Figure BDA0001785267450000112
的HDP膜保留在50%的部件上。然而,在较小的部件上,例如10%到40%PD部件,HDP膜被完全去除并且暴露下伏的氮化物膜。具有>50%PD的部件仍然在氮化物膜上具有显著的介电膜。在移动到P2之前,使用SP100清洁化学液(含有TMAH)在 OnTrakTMDSS-200SynergyTM工具(加利福尼亚州菲蒙市的科林研发公司(Lam Research, Fremont,CA))上清洁图案化晶片以从晶片上去除浆料B颗粒。如本文所用,P2抛光过抛光时间定义为在暴露氮化硅之后用于抛光图案晶片的额外时间。在过度抛光期间,对于每一步抛光事件,在不同的图案密度部件上监测沟槽氧化物损失(A)和SiN损失(B)。
下表7A和7B分别比较了在40%PD和50%PD部件衬底上实例3-2*、3-4和3-6 在P2工艺期间在200mm STI图案化晶片上的抛光行为。
表7A:本发明组合物对40%PD部件的性能
Figure BDA0001785267450000121
*-表示比较实例。
表7B:本发明组合物对50%PD部件的性能
Figure BDA0001785267450000122
*-表示比较实例。
如上表7A和7B所示,与比较实例3-2的浆料相比,含有天冬氨酸的研磨浆料显示出少得多的SiN损失和沟槽氧化物损失;并且效果随着天冬氨酸浓度的增加得到改善。

Claims (8)

1.一种水性化学机械平坦化抛光组合物,其包含一种或多种含有阳离子氮原子的细长、弯曲或结节状胶态二氧化硅颗粒分散体的研磨剂,一种或多种具有低于5的等电点的胺羧酸和阳离子共聚物,所述胺羧酸选自烟酸、谷氨酸、天冬氨酸和吡啶酸,其中所述组合物具有2到5的pH,并且,其中,作为固体的所述研磨剂颗粒的量按所述组合物的总重量计在0.01重量%到20重量%的范围内,所述阳离子共聚物包括具有阳离子氮的二烯丙基二烷基胺盐和二氧化硫重复单元。
2.根据权利要求1所述的水性化学机械平坦化抛光组合物,其中所述一种或多种含有阳离子氮原子的细长、弯曲或结节状胶态二氧化硅颗粒分散体具有1.8:1到3:1的所述颗粒最长维度与其垂直于所述最长维度的直径的平均颗粒纵横比。
3.根据权利要求1所述的水性化学机械平坦化抛光组合物,其中所述研磨剂包含含有阳离子氮原子的细长、弯曲或结节状胶态二氧化硅颗粒分散体与球形胶态二氧化硅颗粒分散体的混合物。
4.根据权利要求1所述的水性化学机械平坦化抛光组合物,其中所述胶态二氧化硅颗粒的重量平均粒度在所述胶态二氧化硅颗粒分散体范围内或其超过一种分散体中此类粒度的加权平均值在10 nm到200 nm的范围内。
5.根据权利要求1所述的水性化学机械平坦化抛光组合物,其中所述一种或多种胺羧酸的总固体量按所述组合物的总重量计在0.005重量%到5重量%的范围内。
6.根据权利要求1所述的水性化学机械平坦化抛光组合物,其中所述组合物具有3到4的pH。
7.根据权利要求1所述的水性化学机械平坦化抛光组合物,其中阳离子共聚物的总固体量按所述组合物的总重量计在0.001重量%到0.1重量%的范围内。
8.根据权利要求1所述的水性化学机械平坦化抛光组合物,其中所述胺羧酸是吡啶甲酸。
CN201811011725.5A 2017-09-28 2018-08-31 用于浅沟槽隔离的水性二氧化硅浆料和胺羧酸组合物及其使用方法 Active CN109575814B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/719,038 US10711158B2 (en) 2017-09-28 2017-09-28 Aqueous silica slurry and amine carboxylic acid compositions for use in shallow trench isolation and methods of using them
US15/719038 2017-09-28

Publications (2)

Publication Number Publication Date
CN109575814A CN109575814A (zh) 2019-04-05
CN109575814B true CN109575814B (zh) 2021-02-12

Family

ID=65806878

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811011725.5A Active CN109575814B (zh) 2017-09-28 2018-08-31 用于浅沟槽隔离的水性二氧化硅浆料和胺羧酸组合物及其使用方法

Country Status (5)

Country Link
US (1) US10711158B2 (zh)
JP (1) JP7274844B2 (zh)
KR (1) KR102654089B1 (zh)
CN (1) CN109575814B (zh)
TW (1) TWI793158B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7220522B2 (ja) * 2018-05-24 2023-02-10 株式会社バイコウスキージャパン 研磨砥粒、その製造方法、それを含む研磨スラリー及びそれを用いる研磨方法
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
KR20230063182A (ko) * 2021-11-01 2023-05-09 주식회사 케이씨텍 연마용 슬러리 조성물
WO2023171290A1 (ja) * 2022-03-08 2023-09-14 株式会社フジミインコーポレーテッド 研磨用組成物

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101490201A (zh) * 2006-06-07 2009-07-22 卡伯特微电子公司 用于抛光氮化硅材料的组合物及方法
CN102766407A (zh) * 2008-04-23 2012-11-07 日立化成工业株式会社 研磨剂及使用该研磨剂的基板研磨方法
CN107001913A (zh) * 2014-06-25 2017-08-01 嘉柏微电子材料股份公司 胶态氧化硅化学‑机械抛光组合物

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7316603B2 (en) 2002-01-22 2008-01-08 Cabot Microelectronics Corporation Compositions and methods for tantalum CMP
JP4954462B2 (ja) 2004-10-19 2012-06-13 株式会社フジミインコーポレーテッド 窒化シリコン膜選択的研磨用組成物およびそれを用いる研磨方法
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7902072B2 (en) * 2006-02-28 2011-03-08 Fujifilm Corporation Metal-polishing composition and chemical-mechanical polishing method
JP2008192930A (ja) * 2007-02-06 2008-08-21 Fujifilm Corp 金属研磨用組成物及びそれを用いた化学的機械的研磨方法
MY147729A (en) 2007-09-21 2013-01-15 Cabot Microelectronics Corp Polishing composition and method utilizing abrasive particles treated with an aminosilane
JP5646996B2 (ja) * 2007-09-21 2014-12-24 キャボット マイクロエレクトロニクス コーポレイション 研磨組成物およびアミノシランを用いて処理された研削剤粒子の使用方法
SG10201401549SA (en) 2009-06-22 2014-06-27 Cabot Microelectronics Corp CMP Compositions And Methods For Suppressing Polysilicon Removal Rates
US8492277B2 (en) 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc Method of polishing a substrate comprising polysilicon and at least one of silicon oxide and silicon nitride
KR101243331B1 (ko) * 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
US8808573B2 (en) * 2011-04-15 2014-08-19 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
TWI573864B (zh) 2012-03-14 2017-03-11 卡博特微電子公司 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物
US8999193B2 (en) * 2012-05-10 2015-04-07 Air Products And Chemicals, Inc. Chemical mechanical polishing composition having chemical additives and methods for using same
US8778212B2 (en) 2012-05-22 2014-07-15 Cabot Microelectronics Corporation CMP composition containing zirconia particles and method of use
US9633863B2 (en) * 2012-07-11 2017-04-25 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
JP6107826B2 (ja) * 2012-08-30 2017-04-05 日立化成株式会社 研磨剤、研磨剤セット及び基体の研磨方法
US8906252B1 (en) * 2013-05-21 2014-12-09 Cabot Microelelctronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
CN105378011B (zh) * 2013-07-11 2020-07-07 巴斯夫欧洲公司 包含苯并三唑衍生物作为缓蚀剂的化学机械抛光组合物
US9303187B2 (en) * 2013-07-22 2016-04-05 Cabot Microelectronics Corporation Compositions and methods for CMP of silicon oxide, silicon nitride, and polysilicon materials
JP2017508833A (ja) * 2014-01-31 2017-03-30 ビーエーエスエフ ソシエタス・ヨーロピアBasf Se ポリ(アミノ酸)を含む化学機械研磨(cmp)組成物
US9752057B2 (en) * 2014-02-05 2017-09-05 Cabot Microelectronics Corporation CMP method for suppression of titanium nitride and titanium/titanium nitride removal
SG11201610330TA (en) * 2014-06-25 2017-01-27 Cabot Microelectronics Corp Tungsten chemical-mechanical polishing composition
JP6612790B2 (ja) 2014-06-25 2019-11-27 キャボット マイクロエレクトロニクス コーポレイション 銅バリアの化学機械研磨組成物
US10138396B2 (en) 2015-09-30 2018-11-27 Fujimi Incorporated Polishing composition
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
KR20170076191A (ko) * 2015-12-24 2017-07-04 주식회사 케이씨텍 연마입자-분산층 복합체 및 그를 포함하는 연마 슬러리 조성물

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101490201A (zh) * 2006-06-07 2009-07-22 卡伯特微电子公司 用于抛光氮化硅材料的组合物及方法
CN102766407A (zh) * 2008-04-23 2012-11-07 日立化成工业株式会社 研磨剂及使用该研磨剂的基板研磨方法
CN107001913A (zh) * 2014-06-25 2017-08-01 嘉柏微电子材料股份公司 胶态氧化硅化学‑机械抛光组合物

Also Published As

Publication number Publication date
CN109575814A (zh) 2019-04-05
JP2019070112A (ja) 2019-05-09
TW201915134A (zh) 2019-04-16
US10711158B2 (en) 2020-07-14
KR102654089B1 (ko) 2024-04-02
JP7274844B2 (ja) 2023-05-17
KR20190037107A (ko) 2019-04-05
US20190092973A1 (en) 2019-03-28
TWI793158B (zh) 2023-02-21

Similar Documents

Publication Publication Date Title
CN109575814B (zh) 用于浅沟槽隔离的水性二氧化硅浆料和胺羧酸组合物及其使用方法
US10119048B1 (en) Low-abrasive CMP slurry compositions with tunable selectivity
US10822524B2 (en) Aqueous compositions of low dishing silica particles for polysilicon polishing
CN109575815B (zh) 用于抛光中选择性去除氮化物的含水阴离子官能二氧化硅浆料和胺羧酸组合物及其使用方法
KR102649773B1 (ko) 얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
CN109593473B (zh) 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法
CN109423213B (zh) 用于浅沟槽隔离的水性硅石浆料组合物和其使用方法
CN109593474B (zh) 选择用于抛光中氮化物去除的含水二氧化硅浆料和胺羧酸组合物及其使用方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant