TWI793158B - 用於淺溝槽隔離之水性二氧化矽漿料及胺羧酸組合物及其使用方法 - Google Patents

用於淺溝槽隔離之水性二氧化矽漿料及胺羧酸組合物及其使用方法 Download PDF

Info

Publication number
TWI793158B
TWI793158B TW107130170A TW107130170A TWI793158B TW I793158 B TWI793158 B TW I793158B TW 107130170 A TW107130170 A TW 107130170A TW 107130170 A TW107130170 A TW 107130170A TW I793158 B TWI793158 B TW I793158B
Authority
TW
Taiwan
Prior art keywords
composition
cmp polishing
amine carboxylic
weight
colloidal silica
Prior art date
Application number
TW107130170A
Other languages
English (en)
Other versions
TW201915134A (zh
Inventor
納雷許庫馬 潘塔
李姿豐
Original Assignee
美商羅門哈斯電子材料Cmp控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商羅門哈斯電子材料Cmp控股公司 filed Critical 美商羅門哈斯電子材料Cmp控股公司
Publication of TW201915134A publication Critical patent/TW201915134A/zh
Application granted granted Critical
Publication of TWI793158B publication Critical patent/TWI793158B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Composite Materials (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本發明提供水性化學機械平坦化拋光(CMP拋光)組合物,諸如用於半導體基板,其包括一種或多種含有陽離子氮原子之細長、彎曲或結節狀膠態二氧化矽顆粒分散體的研磨劑,及一種或多種具有低於5之等電點(pI)的胺羧酸,較佳酸性胺羧酸或吡啶酸,其中所述組合物具有2至5之pH。所述組合物能夠以高氧化物:氮化物移除速率比進行拋光。

Description

用於淺溝槽隔離之水性二氧化矽漿料及胺羧酸組合物及其使用方法
本發明係關於水性化學機械平坦化(CMP)拋光組合物,所述組合物包括一種或多種細長、彎曲或結節狀膠態二氧化矽顆粒分散體或其與一種或多種球形膠態二氧化矽顆粒分散體之混合物的研磨劑及一種或多種具有低於5之等電點(pI)的胺羧酸,所述組合物具有2至5之pH。
在前段工序(front-end-of-line,FEOL)半導體加工中,淺溝槽隔離(STI)對於積體電路製造中閘極之形成係至關重要的,諸如在電晶體形成之前。在STI中,介電質諸如原矽酸四乙酯(TEOS)或二氧化矽過量沈積在形成於矽晶圓中之開口中,例如,藉由氮化矽(SiN)障壁層與積體電路的其餘部分相隔離的溝槽或隔離區。接著使用CMP製程以移除過量的介電質,產生在矽晶圓上鑲嵌有預定圖案的介電質之結構。用於STI的CMP需要自隔離區移除及平坦化二氧化矽覆蓋層,從而產生具有經二氧化矽填充的溝槽的共面表面。在STI中,必須清除氮化矽膜表面的二氧化矽或氧化矽,以允許隨後在下游加工中移除氮化物硬遮罩。可接受的氧化物:氮化物移除速率比係必要的,以防止對下伏矽Si作用區造成損壞並提供過度拋光餘量以確保清除所有圖案密度的氧化物。此外,必須避免任何溝槽中氧化物的凹陷,以防止完成的閘極中的低臨限值電壓洩漏。
目前,與用以拋光基板的CMP拋光墊一起使用的水性化學、機械平坦化拋光(CMP拋光)組合物的使用者希望避免使用含二氧化鈰的CMP拋光組合物。二氧化鈰漿料對二氧化矽的選擇性高於氮化矽,並且在暴露氮化矽時避免移除溝槽區中的氧化物,但是成本高,具有RR及製程穩定性的問題,並且在拋光期間易於產生缺陷。二氧化矽漿料調配物提供了更低成本、無缺陷的解決方案,但迄今為止,對於在STI應用中使用來說,有著無法令人滿意的氧化物凹陷控制及不充分的氧化物:氮化物選擇性問題。
Grumbine等人之美國專利第US9,499,721B2號揭示了一種用於拋光基板的化學機械拋光組合物,所述組合物包括膠態二氧化矽分散體,所述分散體可具有帶永久正電荷的顆粒及一種或多種併入所述顆粒中的化學物種。二氧化矽顆粒中的化學物種可以為含氮化合物,較佳胺基矽烷,或含磷化合物。在數百種此類化學物種中,含氮化合物可以為胺羧酸;然而,Grumbine沒有揭示任何這樣的組合物:其中任何胺羧酸增加介電氧化物:介電氮化物移除速率的選擇性。此外,Grumbine似乎需要二氧化矽顆粒中的化學物種及並非胺羧酸的單獨的矽氮拋光抑制劑添加劑兩者。
本發明人致力於解決提供水性二氧化矽漿料的問題,所述水性二氧化矽漿料能夠實現用於STI應用中的可接受的氧化物凹陷控制及氧化物:氮化物移除速率選擇性,以及使用所述漿料的方法。
1.根據本發明,水性化學機械平坦化拋光(CMP拋光)組合物包括一種或多種含有陽離子氮原子之細長、彎曲或結節狀膠態二氧化矽顆粒分散體,較佳例如所述顆粒最長維度與其垂直於所述最長維度之直徑的平均顆粒縱橫比為1.8:1至3:1之分散體,或其與球形膠態二氧化矽顆粒分散體之混合物的研磨劑,及一種或多種具有低於5之等電點(pI)的胺羧酸,較佳酸性胺羧酸或吡啶酸,或較佳pI為2.0-4.5的胺羧酸,其中所述組合物具有2至5或較佳地3至4之pH,並且,進一步其中,作為固體之所述研磨劑顆粒的量按所述組合物之總重量計在0.01重量%至20重量%的範圍內,或較佳在0.1重量%至15重量%的範圍內,或更佳在0.5重量%至2.5重量%的範圍內。
2.根據如上第1項所述的水性CMP拋光組合物,其中所述研磨劑包括含有陽離子氮原子之細長、彎曲或結節狀膠態二氧化矽顆粒分散體與球形膠態二氧化矽顆粒分散體的混合物,其中所述細長、彎曲或結節狀膠態二氧化矽顆粒分散體的量按所述研磨劑的總固體重量計在80重量%至99.9重量%的範圍內,或較佳在95重量%至99.9重量%的範圍內。
3.根據如上第1項或第2項中任一項所述的水性CMP拋光組合物,其中所述研磨膠態二氧化矽顆粒的重量平均粒度(CPS)在所述膠態二氧化矽顆粒分散體範圍內或其超過一種此類分散體中此類粒度的加權平均值在10 nm至200 nm的範圍內,或較佳在25 nm至80 nm的範圍內。
4.根據如上第1項、第2項或第3項中任一項所述的水性CMP拋光組合物,其中所述一種或多種胺羧酸選自酸性胺羧酸或吡啶酸,或更佳地,選自菸鹼酸、吡啶甲酸、麩胺酸或天冬胺酸。
5.根據如上第4項所述的水性CMP拋光組合物,其中所述一種或多種胺羧酸的總固體量在0.005重量%至5重量%的範圍內,其中,較佳地,酸性胺羧酸以0.01重量%至1重量%的量,或更佳以0.02重量%至0.5重量%的量存在,或較佳地,胺羧酸為吡啶酸(不包含吡啶二羧酸),例如,呈0.04重量%至3重量%,或更佳0.08重量%至2重量%的量,所有重量%均按所述組合物之總重量計。
6.根據如上第1項、第2項、第3項、第4項或第5項中任一項所述的水性CMP拋光組合物,其中所述組合物進一步包括一種或多種陽離子共聚物,諸如二烯丙基二烷基胺鹽、二烯丙基烷基胺鹽或二烯丙基胺鹽中之任一種及諸如二氧化硫的非離子單體的陽離子共聚物。
7. 根據本發明之另一態樣,水性CMP拋光組合物的使用方法包括使用CMP拋光墊及如上第1項至第6項中任一項所述的水性CMP拋光組合物拋光基板。
8.根據如上第6項所述的本發明方法,其中所述基板包括二氧化矽或四乙氧基矽酸鹽(TEOS)及氮化矽,如SiN或Si3 N4 或其混合物,並且所述拋光產生至少8:1,例如8:1至100:1,或較佳至少50:1,例如30:1至70:1的氧化物:氮化物移除速率比。
9. 根據如上第7項或第8項中任一項所述的用於拋光基板的本發明方法,其中所述拋光下壓力在10.3 kPa(1.5 psi)至41.5 kPa(6 psi)的範圍內,或較佳地在12 kPa(1.8 psi)至36 kPa(5.2 psi)的範圍內。
10.根據如上第7項、第8項或第9項中任一項所述的用於拋光基板的本發明方法,其中所述CMP拋光組合物包括總計0.5重量%至5重量%,或較佳地1重量%至3重量%之細長、彎曲或結節狀膠態二氧化矽顆粒、球形膠態二氧化矽顆粒或其混合物的分散體的總固體含量。所述CMP拋光組合物可以濃縮物形式儲存及運輸,接著在拋光基板時用水稀釋。
除非另外指示,否則溫度及壓力的條件均為環境溫度及標準壓力。所有所述的範圍均為包含性並且可組合的。
除非另外指示,否則含有圓括號的任何術語均可以替代地指全部術語,如同圓括號不存在及術語沒有圓括號一樣,以及每個替代方案的組合。因此,術語「(聚)異氰酸酯」係指異氰酸酯、聚異氰酸酯或其混合物。
所有範圍均具有包含性及可組合性。例如,術語「50 cp至3000 cp或100 cp或更大cp的範圍」將包含50 cp至100 cp、50 cp至3000 cp以及100 cp至3000 cp中之每一個。
如本文所用,術語「胺羧酸」意指含有至少一個羧基及至少一個胺或氨基的任何有機化合物。因此,胺羧酸不限於天然存在的胺基酸或僅形成肽鍵的彼等胺基酸。例如,吡啶羧酸為不太可能形成肽鍵之胺羧酸。
如本文所用,術語「ASTM」係指賓夕法尼亞州西康舍霍肯ASTM國際組織(ASTM International, West Conshohocken, PA)之出版物。
如本文所用,術語「膠體穩定的」意指所指定組合物不發生膠凝或沈澱,且在指定的時間後以及在指定的溫度下,在目視檢驗時保持透明。
如本文所用,對於胺羧酸,術語「等電點」或「pI」為胺羧酸在電場或電泳介質中不遷移時之pH;pI係指(i)中性胺羧酸中兩個pKa之平均值;(ii)酸性胺羧酸(其具有2個羧基)中兩個最低pKa之平均值;以及(iii)鹼性胺羧酸(其具有兩個胺基)中兩個最高pKa之平均值。在以下實例中詳細解釋了「等電點」及其計算。此外,如本文所用,術語「全部研磨劑之pI」意指一種或多種膠態二氧化矽顆粒分散體中之每一種之pI的加權平均值。因此,若存在一種此類膠態二氧化矽顆粒分散體,則所述全部研磨劑之pI等於所述分散體之pI;若存在50/50 w/w的兩種此類分散體的混合物,並且一種此類分散體之pI為3.5,而另一種此類分散體之pI為4.5,則所述全部研磨劑之pI為(3.5×0.5) + (4.5×0.5)或4.0。
如本文所用,術語「ISO」係指瑞士日內瓦國際標準化組織(International Organization for Standardization, Geneva, CH)的出版物。
如本文所用,術語「粒度(CPS)」意指組合物的重量平均粒度,如利用CPS Instruments(荷蘭)盤式離心機系統所測定。溶劑中的顆粒利用離心力根據尺寸來分離且利用光學光散射來量化。
如本文所用,術語「二氧化矽顆粒固體」或「二氧化矽固體」意指對於指定的組合物來說,球形二氧化矽顆粒的總量加上細長、彎曲或結節狀二氧化矽顆粒的總量,包含與任何彼等顆粒一起處理的任何物質。
如本文所使用,術語「固體」意指除水或氨外的任何材料,其不在使用條件下揮發,無論其物理狀態如何。因此,液態胺羧酸,或在使用條件下不揮發的添加劑視為「固體」。
如本文所用,術語「強酸」係指具有2或更小的pKa 的質子酸,諸如無機酸,如硫酸或硝酸。
如本文所用,術語「使用條件」意指使用所指定組合物時的溫度及壓力,包含使用期間或作為使用結果的溫度及壓力增加。
如本文所用,術語「二氧化矽重量分率」意指按所述組合物總重量計的二氧化矽總重量%/100%。因此,30重量%二氧化矽等於0.3的重量分率。
如本文所用,術語「wt.%」表示重量百分比。
如本文所用,術語「ζ電位」係指所指定組合物的電荷,如用Malvern Zetasizer儀器所量測。除非另有指明,否則所有ζ電位量測均係對如實例中所述的(稀釋的)漿料組合物進行的。對於每一指定組合物,使用儀器進行>20次採集,由ζ值的平均量測結果獲取報導值。
如本文所用,術語「細長、彎曲或結節狀二氧化矽顆粒」係指具有例如1.8:1至3:1之最長維度與其垂直於最長維度之直徑的縱橫比的二氧化矽顆粒,如藉由普通技術人員已知的任何方法,諸如透射電子顯微鏡(TEM)所測定,或如顆粒分散體的製造商所報導。
本發明人驚奇地發現,含有陽離子氮原子之細長、彎曲或結節狀膠態二氧化矽顆粒研磨劑及具有等於或小於全部研磨劑之pI的等電點的胺羧酸的水性CMP拋光組合物實現了從未實現過的介電氧化物基板(諸如氧化矽)與介電氮化物基板(諸如氮化矽)的移除速率選擇性。
根據本發明之水性CMP拋光組合物提供的介電氧化物:介電氮化物基板移除速率選擇性比為2:1至150:1,或較佳25:1至150:1。在較佳的3至4之pH下並且當使用較高濃度的較佳的胺羧酸時,選擇性比得到改善。根據本發明之方法能夠提供的介電氧化物:介電氮化物基板移除速率選擇性比為2:1至150:1,或較佳25:1至150:1。
較佳地,根據本發明,介電氧化物及介電氮化物基板分別為氧化矽及氮化矽。
根據本發明,合適的膠態二氧化矽組合物可包括藉由常規溶膠凝膠聚合或藉由水玻璃的懸浮聚合製備的二氧化矽分散體,以產生複數個細長、彎曲或結節狀二氧化矽顆粒,其分佈或混合物可包含複數個球形二氧化矽顆粒。
合適的細長、彎曲或結節狀膠態二氧化矽顆粒分散體係藉由以已知方式自前體,如四乙氧基矽烷(TEOS)或四甲氧基矽烷(TMOS)形成的矽烷醇的水解縮合進行的懸浮聚合製備的。用於製備細長、彎曲或結節狀二氧化矽顆粒的方法已為人知且可以發現於例如Higuchi等人之美國專利第8,529,787號中。水解縮合包括在鹼性催化劑諸如氫氧化烷基銨、烷氧基烷基胺諸如乙氧基丙胺(EOPA)、烷基胺或KOH,較佳地,氫氧化四甲基銨存在下使所述前體在水性懸浮液中反應;水解縮合過程可以將一個或多個陽離子氮原子結合至細長、彎曲或結節狀二氧化矽顆粒中。較佳地,所述細長、彎曲或結節狀二氧化矽顆粒在pH為4或低於4時為陽離子的。
彎曲或結節狀膠態二氧化矽顆粒的合適分散體可以商標名HL-2、HL-3、HL-4、PL-2、PL-3或BS-2及BS-3漿料購自日本大阪的扶桑化學株式會社(Fuso Chemical Co., Ltd., Osaka, JP)(扶桑)。其他合適的研磨劑包含HL-1及BS系列研磨劑,諸如BS-1、BS-2及BS-3(扶桑)。來自扶桑的HL及BS系列顆粒含有在pH 4或低於4時賦予陽離子電荷的一個或多個氮原子。
為確保本發明之所述水性CMP拋光組合物的膠體穩定性並確保胺羧酸的等電點等於或小於全部研磨劑之pI,所述組合物之pH在2至5的範圍內,或較佳在3至4的範圍內。高於期望之pH範圍時,所述組合物傾向於失去其穩定性。此外,具有陽離子氮原子的所述研磨劑將比中性二氧化矽具有更高的等電點,如本文所用具有2.0的等電點。
根據本發明之所述水性CMP拋光組合物具有正ζ電位。較佳地,本發明之所述水性CMP拋光組合物具有5 mV至50 mV的ζ電位。此類ζ電位有助於藉由提高氧化物移除速率來控制移除速率。
較佳地,為了在CMP拋光期間減少介電氧化物的凹陷,本發明之所述組合物可進一步包括陽離子聚合物,諸如陽離子共聚物,例如,諸如二氧化硫的重複單元與以下中之任一種的共聚物:具有陽離子氮的二烯丙基二烷基胺鹽,諸如鹵化二烯丙基二甲基銨、具有陽離子胺基的二烯丙基胺鹽,諸如鹵化二烯丙基銨、或具有陽離子胺基的二烯丙基烷基胺鹽,諸如二烯丙基烷基銨鹽,例如,鹵化二烯丙基烷基銨,較佳二烯丙基單甲基銨鹽。此類共聚物可有助於氧化矽選擇性以及防止拋光中的凹陷。所述陽離子共聚物的量按所述組合物之總重量計在至多0.1重量%的範圍內。過多的陽離子共聚物可鈍化所述基板的介電質或二氧化矽表面。本發明之所述陽離子共聚物可以在存在或不存在酸的情況下藉由加成聚合來製備,例如,正如Yusuke等人之美國專利第9,006,383 B2號中所詳述的。
本發明之所述水性CMP拋光組合物可包括其他陽離子添加劑,諸如多胺,其量按總固體量計至多1重量%。
合適的添加劑亦可包含,例如,陽離子胺基矽烷,諸如N-(2-胺基乙基)-3-胺基丙基三甲氧基矽烷,98%(Gelest Inc.,賓夕法尼亞州莫里斯維爾(Morrisville, PA))或N,N-二乙基胺基甲基)三乙氧基矽烷,98%(Gelest Inc.)。
期望的是,本發明之所述CMP拋光係在STI加工中用本發明之所述CMP拋光組合物進行的,較佳使得氮化矽得以基本上移除並且二氧化矽經充分平坦化,且溝槽內的介電質或二氧化矽沒有過度侵蝕或凹陷。
在使用中,晶圓基板的STI加工涉及提供其上沈積有氮化矽層的矽基板。在光微影之後,將溝槽蝕刻至包括氮化矽上覆層的基板上,並且在其上沈積過量的介電質,例如二氧化矽。接著對基板進行平坦化,直至基本上移除氮化矽表面層,使得留在溝槽中的介電質或氧化矽與溝槽的邊緣大致齊平。
實例:以下實例說明本發明之各種特徵。
在以下實例中,除非另外指明,否則溫度及壓力條件為環境溫度及標準壓力。
以下材料,包含下表1中列出的彼等,用於以下實例中: 表1:二氧化矽及其他研磨劑顆粒
Figure 107130170-A0304-0001
1. 日本大阪的扶桑化學(Fuso Chemical, Osaka, JP);2.在pH為4.0時測定的電荷及用TMOS及含胺鹼性催化劑,諸如氫氧化四甲銨形成的陽離子顆粒;3.如源自來源之pH。
實例中所用的各種二氧化矽顆粒列於上表1中。
漿料B:在使用時(POU)在pH 4.5下的含有2重量%固體二氧化矽的漿料。
以下實例中使用以下縮寫: POU:使用時;RR:移除速率。
胺羧酸的等電點:胺羧酸的等電點(pI)為胺羧酸在電場或電泳介質中不遷移時之pH。為了定義pI,pKa被指定為自最低pH至最高pH的數值。具有中性側鏈的胺羧酸的特徵在於有兩個pKa:羧酸的pKa1及胺的pKa2。pI將為此兩個pKa的中間值或平均值,亦即pI = 1/2 (pKa1 + pKa2)。在低於pKa1之pH下,所述胺羧酸將具有整體正電荷,並且在高於pKa之pH下,所述胺羧酸將具有整體負電荷。對於最簡單的胺羧酸、甘胺酸,pKa1 = 2.34,而pKa2 = 9.6,pI = 5.97。酸性胺羧酸具有酸性側鏈。pI將處於較低之pH,因為酸性側鏈引入額外的負電荷。例如,對於天冬胺酸,存在兩個酸性pKa(pKa1 及pKa2 )及一個胺pKa,亦即pKa3 。pI為兩個酸pKa值之間的中間值,亦即pI = 1/2 (pKa1 + pKa2 ),因此pI = 2.77。鹼性胺羧酸在較高pH下具有pI,因為鹼性側鏈引入額外的正電荷。例如,對於組胺酸,pI為兩個氨氫pKa值之間的中間值,pI = 1/2 (pKa2 + pKa3 ),因此pI = 7.59。許多胺羧酸之pI顯示在下表2中。 表2:胺羧酸之Pka及等電點
Figure 107130170-A0304-0002
以下實例中使用以下測試方法: POU之pH:使用時之pH(POU之pH)係在用水將指定濃縮組合物稀釋至指定固體含量之後的移除速率測試期間量測的。
實例1:拋光及移除速率:在20.7 kPa(3 psi)的下壓力下,使用Strasburgh 6EC 200 mm晶圓拋光機或「6EC RR」(亞利桑那州昌德勒的華碩科技公司(Axus Technology Company, Chandler, AZ))對四乙氧基矽烷(TEOS)及氮化矽基板中之每一個進行拋光的毯覆式晶圓移除速率測試,且工作台及載體轉速(rpm)分別為93及87,且其中IC1000TM CMP拋光墊具有1010凹槽圖案(密歇根州米德蘭的陶氏公司(Dow, Midland, MI)),且如下表3所示的指定的研磨漿料的給定研磨漿料流速為200ml/min。SEASOLTM AK45 AM02BSL8031C1鑽石墊修整器盤(臺灣的中國砂輪公司(Kinik Company, Taiwan)用於修整所述拋光墊。所述拋光墊在拋光期間使用3.18 kg(7.0 lbf)的下壓力,按10次掃掠/分鐘自距離拋光墊中心的4.32 cm至23.37 cm進行原位修整。移除速率藉由使用KLA-TencorTM FX200計量工具(加利福尼亞州米爾皮塔斯的美商科磊(KLA-Tencor, Milpitas, CA)),使用49點螺旋掃描(排除3 mm邊緣)量測拋光之前及之後的膜厚度來測定。移除速率結果及其比率(選擇性)顯示在下表3中。 表3:漿料調配物詳情、移除速率(RR)及選擇性
Figure 107130170-A0304-0003
*- 表示比較實例。
如上述3中所示,實例1-1至1-12中具有等電點< 5的胺羧酸的水性研磨漿料組合物均達成高氧化物RR,但隨著添加劑濃度增加,抑制SiN RR。此類本發明實例提供良好至優異的氧化物與氮化物拋光選擇性,尤其當組合物之pH值處於4.0或低於4.0時。相比而言,具有pI剛好大於5的半胱胺酸的相同組合物不會拋光氧化物或氮化物。因此,使用本發明之胺羧酸能夠達成高達119:1的移除速率選擇性。 表4:漿料調配物詳情、移除速率(RR)及選擇性
Figure 107130170-A0304-0004
*- 表示比較實例。
在上表4的實例2-2及2-3中,使用KOH鹼提高漿料的最終pH。KOH影響吡啶甲酸的抑制能力。所述實例表明向胺羧酸組合物中加入鹼會影響氮化物抑制能力。
在下表5中,製備最終儲備漿料以及STI圖案溝槽氧化物/凹陷控制添加劑。在實例3A中使用硝酸來降低pH。此外,添加陽離子共聚物:DADMAC及二氧化硫1:1的共聚物,具有4,000的重均分子量(MW)(使用聚乙二醇標準品的GPC),如製造商(PAS-A-5,日本福島的日東紡織株式會社(Nitto Boseke Co.Ltd, Fukushima, JP))所報導。在所有所述組合物中,研磨劑與陽離子共聚物固體重量比相同。 表5:適用於STI應用的濃縮組合物.
Figure 107130170-A0304-0005
*- 表示比較實例。
上表5中使用的殺生物劑為KordekTM MLX(密歇根州米德蘭的陶氏化學公司(The Dow chemical Company, Midland, MI ),活性組分:甲基異噻唑啉酮)。
在下表6中,藉由用去離子水稀釋上表5中的漿料製備POU漿料,並且在300 mm拋光機ReflexionTM (加利福尼亞州聖克拉拉的應用材料公司(Applied Materials, Santa Clara, CA))上評估其拋光效能。製程條件與實例1中使用的相同,不同的為流速為250毫升/分鐘,且所用的修整器盤為KinikTM AD3CS-211250-1FN(臺灣的中國砂輪公司)。下表6中,CVD(化學氣相沈積)方法包含電漿增強(PECVD)及低壓(LPCVD);實驗試圖測定自利用各種方法製得的基板移除氧化物:氮化物的速率。 表6:POU漿料調配物詳情、移除速率(RR)及選擇性
Figure 107130170-A0304-0006
*- 表示比較實例。
STI圖案晶圓的效能改進: 多步CMP拋光 - P1(第一步)及P2(後續步驟):具有MIT遮罩的具有特定部件%(其相當於晶圓中作用區或高區的面積相對於其總面積)的STI圖案晶圓基板(SKW-3晶圓,SKW, Inc.,加利福尼亞州聖克拉拉(Santa Clara, CA))在MirraTM (200 mm)拋光機或「Mirra RR」(加利福尼亞州聖克拉拉的應用材料公司)上使用兩步法拋光。兩個步驟方法均使用具有1010TM 凹槽設計(陶氏公司)的ICTM 聚胺酯拋光墊(陶氏公司,肖氏D(Shore D)(2秒)硬度:70)及指定的漿料組合物,使用20.7 kPa(3 psi)的拋光下壓力及93 rpm的壓板速度及150毫升/分鐘的漿料流速進行。在拋光期間,所述墊使用KinikTM AD3CS-211250-1FN修整盤(臺灣的中國砂輪公司)在3.17 kg(7 lbf)壓力下使用100%原位修整進行修整。進行CMP拋光,使得在第一步驟或P1製程中,使用漿料B(7.5×倍稀釋)移除覆蓋的高密度電漿氧化物(HDP)膜。當在晶圓的中間晶粒上的50%圖案密度(PD)部件上達成完全平坦化時,停止P1拋光。此時,約500 Å的HDP膜保留在50%的部件上。然而,在較小的部件上,諸如10%至40% PD部件,HDP膜被完全移除並且暴露下伏的氮化物膜。具有>50% PD的部件仍然在氮化物膜上具有顯著的介電膜。在移動至P2之前,使用SP100清潔化學液(含有TMAH)在OnTrakTM DSS-200 Synergy™工具(加利福尼亞州菲蒙市的科林研發公司(Lam Research, Fremont, CA))上清潔圖案化晶圓以自晶圓上移除漿料B顆粒。如本文所用,P2拋光過拋光時間定義為在暴露氮化矽之後用於拋光圖案晶圓的額外時間。在過度拋光期間,對於每一步拋光事件,在不同的圖案密度部件上監測溝槽氧化物損失(A)及SiN損失(B)。
下表7A及7B分別比較了在40% PD及50% PD部件基板上實例3-2* 、3-4及3-6在P2製程期間在200 mm STI圖案化晶圓上的拋光行為。 表7A:本發明組合物對40% PD部件的效能
Figure 107130170-A0304-0007
*- 表示比較實例。 表7B:本發明組合物對50% PD部件的效能
Figure 107130170-A0304-0008
*- 表示比較實例。
如上表7A及7B所示,與比較實例3-2的漿料相比,含有天冬胺酸的研磨漿料顯示出少得多的SiN損失及溝槽氧化物損失;並且效果隨著天冬胺酸濃度的增加得到改善。

Claims (9)

  1. 一種水性化學機械平坦化拋光(CMP拋光)組合物,其包括陽離子共聚物及一種或多種含有陽離子氮原子之細長、彎曲或結節狀膠態二氧化矽顆粒分散體的研磨劑,及一種或多種具有低於5之等電點(pI)的胺羧酸,其中所述組合物具有2至5之pH,並且,進一步其中,作為固體之所述研磨劑顆粒的量按所述組合物之總重量計在0.01重量%至20重量%的範圍內,並且其中,所述陽離子共聚物包括具有陽離子氮的二烯丙基二烷基胺鹽及二氧化硫的重複單元。
  2. 如申請專利範圍第1項所述的水性CMP拋光組合物,其中所述一種或多種含有陽離子氮原子之細長、彎曲或結節狀膠態二氧化矽顆粒分散體具有1.8:1至3:1之所述顆粒最長維度與其垂直於所述最長維度之直徑的平均顆粒縱橫比。
  3. 如申請專利範圍第1項所述的水性CMP拋光組合物,其中所述研磨劑包括含有陽離子氮原子之細長、彎曲或結節狀膠態二氧化矽顆粒分散體與球形膠態二氧化矽顆粒分散體的混合物。
  4. 如申請專利範圍第1項所述的水性CMP拋光組合物,其中所述研磨膠態二氧化矽顆粒的重量平均粒度(CPS)在所述膠態二氧化矽顆粒分散體範圍內或其超過一種分散體中此類粒度的加權平均值在10nm至200nm的範圍內。
  5. 如申請專利範圍第1項所述的水性CMP拋光組合物,其中所述一種或多種胺羧酸選自酸性胺羧酸或吡啶酸。
  6. 如申請專利範圍第5項所述的水性CMP拋光組合物,其中所述一種或多種胺羧酸選自菸鹼酸、吡啶甲酸、麩胺酸或天冬胺酸。
  7. 如申請專利範圍第1項所述的水性CMP拋光組合物,其中所述一種或多種胺羧酸的總固體量按所述組合物之總重量計在0.005重量%至5重量%的範圍內。
  8. 如申請專利範圍第1項所述的水性CMP拋光組合物,其中所述組合物具有3至4之pH。
  9. 如申請專利範圍第1項所述的水性CMP拋光組合物,其中陽離子共聚物的總固體量按所述組合物之總重量計在0.001重量%至0.1重量%的範圍內。
TW107130170A 2017-09-28 2018-08-29 用於淺溝槽隔離之水性二氧化矽漿料及胺羧酸組合物及其使用方法 TWI793158B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/719038 2017-09-28
US15/719,038 US10711158B2 (en) 2017-09-28 2017-09-28 Aqueous silica slurry and amine carboxylic acid compositions for use in shallow trench isolation and methods of using them

Publications (2)

Publication Number Publication Date
TW201915134A TW201915134A (zh) 2019-04-16
TWI793158B true TWI793158B (zh) 2023-02-21

Family

ID=65806878

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107130170A TWI793158B (zh) 2017-09-28 2018-08-29 用於淺溝槽隔離之水性二氧化矽漿料及胺羧酸組合物及其使用方法

Country Status (5)

Country Link
US (1) US10711158B2 (zh)
JP (1) JP7274844B2 (zh)
KR (1) KR102654089B1 (zh)
CN (1) CN109575814B (zh)
TW (1) TWI793158B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP7220522B2 (ja) * 2018-05-24 2023-02-10 株式会社バイコウスキージャパン 研磨砥粒、その製造方法、それを含む研磨スラリー及びそれを用いる研磨方法
KR20210018607A (ko) * 2019-08-06 2021-02-18 삼성디스플레이 주식회사 연마 슬러리, 이를 이용한 표시 장치의 제조방법 및 표시 장치
KR20230063182A (ko) * 2021-11-01 2023-05-09 주식회사 케이씨텍 연마용 슬러리 조성물
WO2023171290A1 (ja) * 2022-03-08 2023-09-14 株式会社フジミインコーポレーテッド 研磨用組成物

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201237128A (en) * 2010-12-17 2012-09-16 Soulbrain Co Ltd Chemical mechanical polishing slurry composition and method for producing semiconductor device using the same
TW201245363A (en) * 2011-04-15 2012-11-16 Cabot Microelectronics Corp Compositions and methods for selective polishing of silicon nitride materials
TW201412908A (zh) * 2012-07-11 2014-04-01 Cabot Microelectronics Corp 用於氮化矽材料之選擇性拋光之組合物及方法
TW201504415A (zh) * 2013-07-22 2015-02-01 Cabot Microelectronics Corp 用於二氧化矽、氮化矽、以及多晶矽材料之化學機械拋光之組合物及方法
TW201538700A (zh) * 2014-01-31 2015-10-16 Basf Se 包含多胺基酸之化學機械拋光(cmp)組成物
TW201612285A (en) * 2014-06-25 2016-04-01 Cabot Microelectronics Corp Tungsten chemical-mechanical polishing composition
TW201629182A (zh) * 2014-02-05 2016-08-16 卡博特微電子公司 用於抑制氮化鈦及鈦/氮化鈦移除之化學機械拋光(cmp)方法
CN107001913A (zh) * 2014-06-25 2017-08-01 嘉柏微电子材料股份公司 胶态氧化硅化学‑机械抛光组合物

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7316603B2 (en) 2002-01-22 2008-01-08 Cabot Microelectronics Corporation Compositions and methods for tantalum CMP
JP4954462B2 (ja) 2004-10-19 2012-06-13 株式会社フジミインコーポレーテッド 窒化シリコン膜選択的研磨用組成物およびそれを用いる研磨方法
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7504044B2 (en) 2004-11-05 2009-03-17 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US7902072B2 (en) * 2006-02-28 2011-03-08 Fujifilm Corporation Metal-polishing composition and chemical-mechanical polishing method
US8759216B2 (en) * 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
JP2008192930A (ja) * 2007-02-06 2008-08-21 Fujifilm Corp 金属研磨用組成物及びそれを用いた化学的機械的研磨方法
JP5519507B2 (ja) 2007-09-21 2014-06-11 キャボット マイクロエレクトロニクス コーポレイション アミノシランを用いて処理した研磨剤粒子を利用する研磨組成物および研磨方法
KR101232442B1 (ko) 2007-09-21 2013-02-12 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
CN103396765A (zh) * 2008-04-23 2013-11-20 日立化成工业株式会社 研磨剂及使用该研磨剂的基板研磨方法
WO2011005456A2 (en) 2009-06-22 2011-01-13 Cabot Microelectronics Corporation Cmp compositions and methods for suppressing polysilicon removal rates
US8492277B2 (en) 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc Method of polishing a substrate comprising polysilicon and at least one of silicon oxide and silicon nitride
TWI573864B (zh) 2012-03-14 2017-03-11 卡博特微電子公司 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物
US8999193B2 (en) * 2012-05-10 2015-04-07 Air Products And Chemicals, Inc. Chemical mechanical polishing composition having chemical additives and methods for using same
US8778212B2 (en) 2012-05-22 2014-07-15 Cabot Microelectronics Corporation CMP composition containing zirconia particles and method of use
WO2014034358A1 (ja) * 2012-08-30 2014-03-06 日立化成株式会社 研磨剤、研磨剤セット及び基体の研磨方法
US8906252B1 (en) * 2013-05-21 2014-12-09 Cabot Microelelctronics Corporation CMP compositions selective for oxide and nitride with high removal rate and low defectivity
WO2015004567A2 (en) * 2013-07-11 2015-01-15 Basf Se Chemical-mechanical polishing composition comprising benzotriazole derivatives as corrosion inhibitors
WO2015200684A1 (en) 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Copper barrier chemical-mechanical polishing composition
WO2017057478A1 (ja) 2015-09-30 2017-04-06 株式会社フジミインコーポレーテッド 研磨用組成物
US9631122B1 (en) 2015-10-28 2017-04-25 Cabot Microelectronics Corporation Tungsten-processing slurry with cationic surfactant
KR20170076191A (ko) * 2015-12-24 2017-07-04 주식회사 케이씨텍 연마입자-분산층 복합체 및 그를 포함하는 연마 슬러리 조성물

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201237128A (en) * 2010-12-17 2012-09-16 Soulbrain Co Ltd Chemical mechanical polishing slurry composition and method for producing semiconductor device using the same
TW201245363A (en) * 2011-04-15 2012-11-16 Cabot Microelectronics Corp Compositions and methods for selective polishing of silicon nitride materials
TW201412908A (zh) * 2012-07-11 2014-04-01 Cabot Microelectronics Corp 用於氮化矽材料之選擇性拋光之組合物及方法
TW201504415A (zh) * 2013-07-22 2015-02-01 Cabot Microelectronics Corp 用於二氧化矽、氮化矽、以及多晶矽材料之化學機械拋光之組合物及方法
TW201538700A (zh) * 2014-01-31 2015-10-16 Basf Se 包含多胺基酸之化學機械拋光(cmp)組成物
TW201629182A (zh) * 2014-02-05 2016-08-16 卡博特微電子公司 用於抑制氮化鈦及鈦/氮化鈦移除之化學機械拋光(cmp)方法
TW201612285A (en) * 2014-06-25 2016-04-01 Cabot Microelectronics Corp Tungsten chemical-mechanical polishing composition
CN107001913A (zh) * 2014-06-25 2017-08-01 嘉柏微电子材料股份公司 胶态氧化硅化学‑机械抛光组合物

Also Published As

Publication number Publication date
US10711158B2 (en) 2020-07-14
CN109575814A (zh) 2019-04-05
KR20190037107A (ko) 2019-04-05
JP2019070112A (ja) 2019-05-09
JP7274844B2 (ja) 2023-05-17
US20190092973A1 (en) 2019-03-28
CN109575814B (zh) 2021-02-12
TW201915134A (zh) 2019-04-16
KR102654089B1 (ko) 2024-04-02

Similar Documents

Publication Publication Date Title
TWI793158B (zh) 用於淺溝槽隔離之水性二氧化矽漿料及胺羧酸組合物及其使用方法
TWI791465B (zh) 低磨損二氧化矽顆粒之水性組合物
US10119048B1 (en) Low-abrasive CMP slurry compositions with tunable selectivity
TWI791599B (zh) 用於在拋光中選擇性移除氮化物之含水陰離子官能二氧化矽漿料及胺羧酸組合物及其使用方法
KR102649773B1 (ko) 얕은 트렌치 분리에서의 사용을 위한 수성 실리카 슬러리 조성물 및 이를 사용하는 방법
CN109593473B (zh) 用于浅沟槽隔离的水性低研磨剂二氧化硅浆料和胺羧酸组合物以及其制造和使用方法
JP7152217B2 (ja) シャロートレンチアイソレーションにおいて使用するための水性シリカスラリー組成物、及びそれらの使用方法
KR102654509B1 (ko) 연마 시 질화물 제거에 선택적인 수성의 실리카 슬러리 및 아민 카복실산 조성물, 및 이의 사용 방법