CN109575815B - 用于抛光中选择性去除氮化物的含水阴离子官能二氧化硅浆料和胺羧酸组合物及其使用方法 - Google Patents

用于抛光中选择性去除氮化物的含水阴离子官能二氧化硅浆料和胺羧酸组合物及其使用方法 Download PDF

Info

Publication number
CN109575815B
CN109575815B CN201811017096.7A CN201811017096A CN109575815B CN 109575815 B CN109575815 B CN 109575815B CN 201811017096 A CN201811017096 A CN 201811017096A CN 109575815 B CN109575815 B CN 109575815B
Authority
CN
China
Prior art keywords
composition
polishing
ethoxylated
cmp polishing
silica particles
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201811017096.7A
Other languages
English (en)
Other versions
CN109575815A (zh
Inventor
N·K·彭塔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Rohm and Haas Electronic Materials CMP Holdings Inc
Original Assignee
Rohm and Haas Electronic Materials CMP Holdings Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Rohm and Haas Electronic Materials CMP Holdings Inc filed Critical Rohm and Haas Electronic Materials CMP Holdings Inc
Publication of CN109575815A publication Critical patent/CN109575815A/zh
Application granted granted Critical
Publication of CN109575815B publication Critical patent/CN109575815B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B1/00Processes of grinding or polishing; Use of auxiliary equipment in connection with such processes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • B24B37/04Lapping machines or devices; Accessories designed for working plane surfaces
    • B24B37/042Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor
    • B24B37/044Lapping machines or devices; Accessories designed for working plane surfaces operating processes therefor characterised by the composition of the lapping agent
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/04Aqueous dispersions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/06Other polishing compositions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • C09K13/04Etching, surface-brightening or pickling compositions containing an inorganic acid
    • C09K13/06Etching, surface-brightening or pickling compositions containing an inorganic acid with organic material
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1409Abrasive particles per se
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1436Composite particles, e.g. coated particles
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30625With simultaneous mechanical treatment, e.g. mechanico-chemical polishing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Composite Materials (AREA)
  • Dispersion Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Finish Polishing, Edge Sharpening, And Grinding By Specific Grinding Devices (AREA)

Abstract

本发明提供一种含水化学机械平坦化抛光(CMP抛光)组合物,其包含一种或多种多个细长、弯曲或结节状阴离子官能胶态二氧化硅颗粒分散体或其与一种或多种阴离子官能球形胶态二氧化硅颗粒分散体的混合物、具有低于5的等电点(PI)的一种或多种胺羧酸(优选地酸性氨基酸或吡啶酸)以及优选地具有C6到C16烷基、芳基或烷芳基疏水基团的一种或多种乙氧基化阴离子表面活性剂,其中所述组合物具有3到5的pH。所述组合物在抛光中实现良好的氮化硅去除和氮化物与氧化物的选择性去除。

Description

用于抛光中选择性去除氮化物的含水阴离子官能二氧化硅浆 料和胺羧酸组合物及其使用方法
技术领域
本发明涉及含水化学机械平坦化(CMP)抛光组合物,其包含一种或多种细长、弯曲或结节状阴离子官能胶态二氧化硅颗粒分散体的研磨剂或其与一种或多种阴离子官能球形胶态二氧化硅颗粒分散体的混合物、等电点(pI)低于5的一种或多种胺羧酸以及优选地具有疏水基团的乙氧基化阴离子表面活性剂,其中组合物具有3到5的pH。
背景技术
随着集成电路装置技术的进步,例如氮化硅、二氧化硅以及多晶硅的传统材料以各种组合使用,以达成且实现期望的架构配置和装置性能。传统的抛光浆料已被设计用于“停止在氮化硅上”应用,例如浅沟槽隔离(STI)。最近,集成电路的密度持续增加,导致许多新的线前端(FEOL)结构受益于CMP抛光,包括替换金属栅极、接触塞以及通过导电金属化处理的衬底。在这些结构中,氮化硅充当蚀刻停止层、封盖材料以及硬掩模。另外,发现氮化硅越来越多地用作扩散或钝化层、间隔材料以及衬里。在所有这些方案中,氮化硅与其它介电膜(例如二氧化硅或四乙氧基硅烷(TEOS))组合使用。因此,大部分图案化晶片现在含有呈不同密度的氮化物和氧化物两种介电膜;此外,涉及这些集成方案的特征大小步骤需要选择性CMP抛光或去除氮化硅膜而不去除氧化物介电材料。需要氮化物的其它方法:氧化物选择性CMP抛光组合物是“反向STI工艺”,其中沟槽在介电氧化物中被蚀刻且填充有介电氮化物帽;和常规“回蚀工艺”的替代方案,其中除了蚀刻以外或代替蚀刻使用CMP抛光。替代性蚀刻工艺的一个这类实例是自对准接触(SAC)封盖。在SAC封盖中,替换金属栅极(RMG)由过量金属(例如钨)形成,所述金属已通过CMP抛光去除,且接着通过在晶片中形成窄间隙的反应性离子蚀刻(RIE)被蚀刻掉。接着用氮化硅(SiN)填充间隙。接着CMP抛光去除多余的氮化物且停在氧化物表面上。
在先前段落中的每种情况下,新的FEOL架构(如SAC)在CMP抛光中需要反向选择性(即具有低氧化物去除速率的高氮化物去除速率)以去除多余的电介质。在SAC中,完全清除现有氧化层上的氮化物层对于避免在连续步骤中阻止氧化物蚀刻是至关重要的。然而,过度抛光氮化物会使氮化物SAC帽变薄,从而存在电短路的风险。因此,具有高选择性CMP抛光的CMP是至关重要的。新的FEOL架构均产生一种结构,其中预定图案的介电氮化物嵌入硅晶片中。这种CMP抛光要求去除且平坦化氮化硅覆盖层,从而产生与填充氮化硅的沟槽、插塞或间隙的共面表面。可接受的氮化物:氧化物去除速率比率是必要的,以防止损坏下面的Si活性区且提供过度抛光间距以确保所有图案密度都清除氮化物。此外,必须避免任何沟槽、帽或间隙中氮化物的凹陷,以防止成品结构中低阈值电压泄漏。
目前,与CMP抛光垫一起使用以抛光衬底的含水化学机械平坦化抛光(CMP抛光)组合物的使用者希望避免使用含有二氧化铈的CMP抛光组合物。二氧化硅浆料配方提供了较低成本、无缺陷的解决方案,但是迄今为止,氮化物与氧化物的使用选择性不令人满意,特别是在图案化的晶片上。
Mishra等人的美国专利第US 9,558,959 B2号公开了一种用于抛光衬底的化学机械抛光组合物,所述组合物包含阴离子研磨剂,其可以是具有阴离子颗粒的胶态二氧化硅分散体和一种或多种氮化物去除速率增强剂,例如羧酸或其盐。二氧化硅颗粒中的化学物种可以是酸性化合物。氮化物去除速率增强剂可以是许多化合物中的任一种,且可包括氨基乙酸。然而,在许多这些氮化物去除速率增强剂和多种公开的研磨剂物种中,Mishra未公开其中增加介电氮化物:介电氧化物去除速率的选择性的任何胺羧酸的任何组合物。此外,Mishra似乎需要二氧化硅颗粒中的化学物种和单独的氮化物去除速率增强剂添加剂。
本发明人致力于解决提供实现用于SAC应用中的可接受的氮化物去除和氮化物:氧化物去除速率选择性的含水二氧化硅浆料以及使用所述浆料的方法的问题。
发明内容
1.根据本发明,含水化学机械平坦化抛光(CMP抛光)组合物包含一种或多种细长、弯曲或结节状阴离子官能胶态二氧化硅颗粒分散体的研磨剂或其与一种或多种阴离子官能球形胶态二氧化硅颗粒分散体的混合物、等电点(pI)低于5的一种或多种胺羧酸(优选地具有2.0到4.0的pI的酸性氨基酸或吡啶酸)以及优选地具有C6到C16烷基、芳基或烷芳基疏水基团或优选地C6到C10烷基、芳基或烷芳基疏水基团的一种或多种乙氧基化阴离子表面活性剂,优选地至少一种乙氧基化硫酸盐表面活性剂,其中组合物具有3到5或优选地3.5到4.5的pH,此外其中,作为固体的研磨剂颗粒的量按组合物总重量计范围介于0.01wt.%到30wt.%,或优选地0.1wt.%到10wt.%或更优选地0.1wt.%到少于1wt.%。
2.根据上述项目1中所阐述的含水CMP抛光组合物,其中在一种或多种胶态二氧化硅颗粒分散体中变化的研磨剂阴离子官能胶态二氧化硅颗粒的重均粒度(CPS)或其混合物中这类粒度的加权平均值范围介于10nm到200nm或优选地20nm到50nm。
3.根据上述项目1或2中任一项所阐述的含水CMP抛光组合物,其中一种或多种胺羧酸选自酸性氨基酸或吡啶酸,或更优选地选自烟碱酸、吡啶甲酸(picolinic acid)、谷氨酸或天冬氨酸。
4.根据上述项目3中所阐述的含水CMP抛光组合物,其中一种或多种胺羧酸的总固体量按组合物的总重量计范围介于0.005wt.%到5wt.%或优选地0.01wt.%到1wt.%,或更优选地呈0.02wt.%到0.5wt.%的量。
5.根据上述项目1、2、3或4中任一项所阐述的含水CMP抛光组合物,其中乙氧基化阴离子表面活性剂选自:乙氧基化硫酸盐、乙氧基化磺酸、乙氧基化磺酸盐、乙氧基化磷酸盐、乙氧基化膦酸盐或乙氧基化羧酸盐,优选为乙氧基化硫酸盐。
6.根据上述项目1、2、3、4、5或6中任一项所阐述的含水CMP抛光组合物,其中乙氧基化阴离子表面活性剂的量范围介于0.0001wt.%到1wt.%或优选地0.01wt.%到0.1wt.%。
7.根据本发明的另一方面,使用含水CMP抛光组合物的方法包含用CMP抛光垫和上述项目1到6中任一项所阐述的含水CMP抛光组合物对衬底进行抛光。
8.根据上述项目7中所阐述的本发明的方法,其中衬底包含二氧化硅或四乙氧基硅酸盐(TEOS)和氮化硅(如SiN或Si3N4或其混合物)两种,且抛光使得氮化物∶氧化物去除速率比率为至少8∶1,例如8∶1到100∶1,或优选地10∶1到80∶1。
9.根据上述项目7或8中任一项的用于抛光衬底的本发明的方法,其中抛光下压力范围介于6.89kPa(1.0psi)到41.37kPa(6psi)或优选地10.34kPa(1.5psi)到20.68kPa(3psi)。
10.根据上述项目7、8或9中任一项的用于抛光衬底的本发明的方法,其中CMP抛光组合物包含总计0.1wt.%到5wt.%或优选地0.1wt.%到3wt.%的作为固体的胶态二氧化硅颗粒。CMP抛光组合物可作为浓缩物存储和运输,且接着在抛光衬底时用水稀释。
具体实施方式
除非另外指示,否则温度和压力的条件均为环境温度和标准压力。所陈述的所有范围都是包括性的和可组合的。
除非另外指示,否则含有圆括号的任何术语都可以替代地指全部术语,如同圆括号不存在和术语没有圆括号一样,以及每个替代方案的组合。因此,术语“(聚)异氰酸酯”是指异氰酸酯、聚异氰酸酯或其混合物。
所有范围都是包括性的和可组合的。举例来说,术语“50cP到3000cP或100cP或更大cP的范围”将包括50cP到100cP、50cP到3000cP以及100cP到3000cP中的每一个。
如本文中所使用,术语“胺羧酸”意指含有至少一个羧基和至少一个胺或氨基团的任何有机化合物。如所使用,“胺羧酸”不限于天然存在的氨基酸或仅限于形成肽键的那些氨基酸。举例来说,吡啶羧酸是不太可能形成肽键的氨基酸。
如本文中所使用,术语“ASTM”是指宾夕法尼亚州西康舍霍肯ASTM国际组织(ASTMInternational,West Conshohocken,PA)的出版物。
如本文中所使用,术语“胶态稳定”意味着给定组合物不胶凝或沉淀,且在给定时间和给定温度之后,在目视检查时保持透明。
如本文中所使用,对于胺羧酸,术语“等电点”或“pI”是胺羧酸在电场或电泳介质中不迁移的pH;pI是指(i)中性胺羧酸中两个pKas的平均值;(ii)酸性胺羧酸(其具有2个羧基)中两个最低pKas的平均值;(iii)碱性胺羧酸(其具有两个胺基)中两个最高pKas的平均值。以下实施例详细解释了“等电点”及其计算。此外,如本文中所使用,术语“总研磨剂的pI”意指一种或多种胶态二氧化硅颗粒分散体中的每一种的pI的加权平均值。因此,如果存在一种这样的胶态二氧化硅颗粒分散体,那么总研磨剂的pI等于所述分散体的pI;如果存在50/50w/w的两种这样的分散体的混合物,且一种这样的分散体的pI是3.5且另一种这样的分散体的pI是4.5,那么总研磨剂的pI是(3.5×0.5)+(4.5×0.5)或4.0。
如本文中所使用,术语“ISO”是指瑞士日内瓦的国际标准化组织(InternationalOrganization for Standardization,Geneva,CH)的出版物。
如本文中所使用,术语“粒度(CPS)”意指如通过CPS仪器(The Netherlands)盘式离心机系统确定的组合物的重均粒度。使用离心力使颗粒在溶剂中按大小分离且使用光学光散射进行定量。
如本文中所使用,术语“二氧化硅颗粒固体”或“二氧化硅固体”意味着对于给定组合物,球形二氧化硅颗粒的总量加上细长、弯曲或结节状二氧化硅颗粒的总量,包括处理那些颗粒中的任一种的任何组分。
如本文中所使用,术语“固体”意指除水或氨之外的在使用条件下不挥发的任何材料,无论其物理状态如何。因此,在使用条件下不挥发的液体氨基酸或添加剂被视为“固体”。
如本文中所使用,术语“强酸”是指pKa为2或更低的质子酸,例如无机酸,如硫酸或硝酸。
如本文中所使用,术语“使用条件”意指使用给定组合物时的温度和压力,包括在使用期间或作为使用结果时温度和压力的增加。
如本文中所使用,术语“二氧化硅重量分数”意指按组合物的总重量计的二氧化硅的总wt.%/100%。因此,30wt.%的二氧化硅相当于0.3的重量分数。
如本文中所使用,术语“wt.%”表示重量百分比。
如本文中所使用,术语“ζ电位”是指如通过Malvern Zetasizer仪器测量的给定组合物的电荷。除非另外指示,否则所有ζ电位测量均在如实例中所描述的在(稀释的)浆料组合物上进行。所报告的值取自使用仪器对每种指示的组合物进行>20次采集的ζ值的平均测量值。
本发明人惊奇地发现,含有阴离子电荷和等电点低于5的胺羧酸的胶态二氧化硅颗粒研磨剂的含水CMP抛光组合物能够实现前所未有的介电氮化物衬底(例如氮化硅)与介电氧化物衬底(例如氧化硅)的去除速率选择性。
根据本发明的含水CMP抛光组合物提供8∶1到100∶1,或优选10∶1到80∶1介电氮化物∶介电氧化物衬底去除速率选择比。在3.5到4.5的优选pH下且当使用较高浓度的优选胺羧酸时,选择比提高。根据本发明使用本发明的组合物的方法提供8∶1到100∶1或优选地10∶1到80∶1的介电氮化物:介电氧化物去除速率比率。
优选地,根据本发明,介电氧化物和介电氮化物衬底分别是氧化硅和氮化硅。
根据本发明,适合的胶态二氧化硅组合物可包含通过常规溶胶凝胶聚合或通过水玻璃的悬浮聚合制备的二氧化硅分散体,以便以分布或混合方式产生可包括多个各种大小的胶态二氧化硅颗粒的多个球形胶态二氧化硅颗粒或多个细长、弯曲或结节状二氧化硅颗粒。
适合的细长、弯曲或结节状胶态二氧化硅颗粒通过水解缩合以已知方式由前体(如四乙氧基硅烷(TEOS)或四甲氧基硅烷(TMOS))形成的硅醇由悬浮聚合制得。制备细长、弯曲或结节状二氧化硅颗粒的方法是已知的,且可见于例如Higuchi等人的美国专利第8,529,787号中。水解缩合可包含使前体在含水悬浮液中在碱性催化剂(例如烷基氢氧化铵、烷氧基烷基胺,例如乙氧基丙胺(EOPA)、烷基胺或KOH,优选四甲基氢氧化铵)的存在下反应。细长、弯曲或结节状二氧化硅颗粒在3到5的pH下是阴离子性的。
一种或多种胶态二氧化硅颗粒分散体中的阴离子官能团可以是磺酸,例如在世界知识产权组织(WIPO)出版物WO2010134542A1中公开的。在所述出版物中,二氧化硅的磺酸改性包含向二氧化硅中添加具有官能团(a)的硅烷偶合剂,其可以化学方式转化为磺酸基团至胶态二氧化硅,且接着将官能团(a)转化为磺酸基。举例来说,具有巯基和/或硫醚基的二氧化硅偶合剂3-巯基丙基三甲氧基硅烷可以通过使用氧化剂(例如过氧化氢)转化为磺酸基。二氧化硅表面上的其它适合的阴离子官能团可以是膦酸。
适合的弯曲或结节状阴离子胶态二氧化硅颗粒分散体可以商品名PL-1-D和PL-3-D浆料购自日本大阪的扶桑化工有限公司(Fuso Chemical Co.,Ltd.,Osaka,JP(Fuso))。
为了确保本发明的含水CMP抛光组合物的胶态稳定性且确保胺羧酸的等电点大于总研磨剂的pI,组合物具有范围介于3到5或优选地3.5到4.5的pH。
根据本发明的一种或多种胺羧酸具有表示为pI等于或小于5,或优选地2.0到4.0的pI。此外,本发明涵盖包含一种以上胺羧酸的混合物的组合物;在这种情况下,胺羧酸混合物的pI是每种胺羧酸的pI的加权平均值(以与上述公开的总研磨剂相同的方式)。
根据本发明的含水CMP抛光组合物具有负ζ电位,因为其在高于其等电点的pH下使用。优选地,本发明的含水CMP抛光组合物中的研磨剂在操作或给定pH下具有-5mV到-50mV的ζ电位。这种ζ电位有助于控制胶态稳定性和氮化物与氧化物的RR比率。
为了改善在使用本发明的含水组合物时实现的介电氮化物去除速率,本发明的组合物可另外包含聚合物或胺羧酸的阴离子基团改性结构。
本发明的含水CMP抛光组合物可包含其它改性添加剂,例如(聚)天冬氨酸,按总固体计,其量高达1wt.%。
理想地,执行本发明的CMP抛光以使得氮化硅基本上被去除且二氧化硅被适当地平坦化而无介电氮化物的过度腐蚀或凹陷。
在使用中,晶片衬底的CMP抛光涉及提供其上沉积有氮化硅层的硅衬底。在金属化或光刻之后,将沟槽、孔穴、空穴或间隙蚀刻到包含上覆氧化硅层的衬底上,且在其上沉积过量的电介质,例如氮化硅。接着对衬底进行平坦化直到氮化硅的表面层基本上被去除且二氧化硅的表面层被暴露但基本上未被去除,使得保留在沟槽中的电介质或氮化硅大致与沟槽、孔穴、空穴或间隙的边缘中的二氧化硅齐平。
实例:以下实例说明本发明的各种特征。
在以下实例中,除非另外指示,否则温度和压力的条件是环境温度和标准压力。
以下材料(包括表1中列出的那些材料)用于以下实例中:
表面活性剂A:WitcolateTM 1247H表面活性剂(Akzo Nobel,Arnhem,NL),具有3个乙氧基的C6-C10醇乙氧基化硫酸铵,其中C6包含15-21%的烷基,C8包含31-38.5%的烷基且C10包含42-50%的烷基。
表1:细长的二氧化硅和其它研磨剂颗粒
Figure BDA0001784795690000071
1.日本扶桑公司;2.从来源输送的pH值;*-表示比较例。
实例中使用的各种二氧化硅颗粒列于上述表1中。二氧化硅浆料A和浆料B中的每一种均含有磺酸官能团。对比浆料,浆料C不含本发明的阴离子官能团。
在以下实例中使用以下缩写:
POU:使用点;RR:去除速率。
胺羧酸的等电点:胺羧酸的等电点或pI是胺羧酸在电场或电泳介质中不迁移的pH。为了定义pI,pKas被指定为从最低pH到最高pH的数值。具有中性侧链的胺羧酸的特征在于两个pKas:羧酸为pKa1,且胺为pKa2。pI将在这两个pKas的中间或平均值之间,即pI=1/2(pKa1+pKa2)。在低于pKa1的pH下,胺羧酸将具有总体正电荷,且在高于pKa2的pH下,胺羧酸将具有总体负电荷。对于最简单的胺羧酸、甘氨酸,pKa1=2.34且pKa2=9.6,pI=5.97。酸性胺羧酸具有酸性侧链。pI将处于较低的pH,因为酸性侧链引入额外的负电荷。举例来说,对于天冬氨酸,存在两个酸pKas(pKa1和pKa2)和一个胺pKa(pKa3)。pI在这两个酸pKa值之间,即pI=1/2(pKa1+pKa2),因此pI=2.77。碱性胺羧酸在较高pH下具有pI,因为碱性侧链引入额外的正电荷。举例来说,对于组氨酸,pI在两个氨氢pKa值之间,pI=1/2(pKa2+pKa3),因此pI=7.59。在下表2中展示许多胺羧酸的pI。
表2:胺羧酸的Pkas和等电点
<u>胺羧酸</u> <u>pKa1</u> <u>pKa2</u> <u>pKa3</u> <u>pI</u>
天冬氨酸 1.88 3.65 9.6 2.77
谷氨酸 2.19 4.25 9.67 3.22
烟碱酸 2 4.85 3.425
吡啶甲酸 1.07 5.25 3.16
半胱氨酸 1.96 8.18 --- 5.07
天冬酰胺 2.02 8.8 --- 5.41
苯丙氨酸 1.83 9.13 --- 5.48
苏氨酸 2.09 9.1 --- 5.6
谷氨酰胺 2.17 9.13 --- 5.65
酪氨酸 2.2 9.11 --- 5.66
丝氨酸 2.21 9.15 --- 5.68
蛋氨酸 2.28 9.21 --- 5.74
色氨酸 2.83 9.39 --- 5.89
缬氨酸 2.32 9.62 --- 5.96
甘氨酸 2.34 9.6 --- 5.97
亮氨酸 2.36 9.6 --- 5.98
丙氨酸 2.34 9.69 --- 6
异亮氨酸 2.36 9.6 --- 6.02
脯氨酸 1.99 10.6 --- 6.3
组氨酸 1.82 6 9.17 7.59
赖氨酸 2.18 8.95 10.53 9.74
精氨酸 2.17 9.04 12.48 10.76
在以下实例中使用以下测试方法:
POU时的pH:使用点时的pH(POU时的pH)是在去除速率测试期间用水将指定浓缩物组合物稀释到指定固体含量之后所测得的pH。
实例1:抛光和去除速率:从在20.68kpa(3psi)的下压力且工作台和托架转速(rpm)分别为93和87下,使用Strasburgh 6EC 200mm晶片抛光机或“6EC RR”(AxusTechnology Company,Chandler,AZ),且用具有1010凹槽图案的IC1000TMCMP抛光垫(Dow,Midland,MI)和如下表3中所展示的指定研磨剂浆料,以给定的研磨剂浆料流动速率200ml/min对四乙氧基硅烷(TEOS)、氮化硅以及非晶硅(aSi)衬底中的每一个进行抛光来进行毯覆式晶片去除速率测试。SEASOLTM AK45 AM02BSL8031C1金刚石垫调节器圆盘(台湾基尼卡公司(Kinik Company,Taiwan))用于调节抛光垫。抛光垫在抛光过程中使用3.17kg(7.0lbf)的向下力以10次扫描/分钟从抛光垫的中心从4.32cm到23.37cm进行原位调节。通过使用KLA-TencorTM FX200度量工具(加利福尼亚州米尔皮塔斯的KLA Tencor(KLA Tencor,Milpitas,CA)使用具有3mm边缘排除的49点螺旋扫描测量抛光之前和之后的膜厚度来确定去除速率。去除速率结果及其比率(选择性地)显示在下表3中。
表3:浆料配方细节、去除速率(RR)以及选择性
Figure BDA0001784795690000091
*-表示比较例。
如以上表3中所展示,实例3到5中的含水研磨剂浆料组合物提供具有<5的等电点的胺羧酸,均实现高氮化物RR而非低氧化物RR,进而提供高氮化物与氧化物选择性(~100)。特别是当组合物的pH为4.0或更低时。这些发明实例提供了良好至优异的氮化物与氧化物抛光选择。相比之下,具有磷酸的相同组合物具有较低的氮化物速率和较高的氧化物去除速率。相比之下,浆料B尺寸较大的研磨剂颗粒提供较低的氮化物速率和较高的氧化物速率,且因此降低了氮化物与氧化物的选择性。较小尺寸的二氧化硅颗粒有助于提高氮化物去除率和降低氧化速率。
下表4中的实例证明了阴离子官能团的效果。
表4:浆料配方细节、去除速率(RR)以及选择性
Figure BDA0001784795690000101
*-表示比较例。
如以上表4中所展示,与二氧化硅不含阴离子官能团的比较例8的组合物相比,实例10和11的组合物显示出更高的SiN:Ox选择性但相同的SiN RR。较高的ζ电位仅有利于低氧化物速率,但对SiN RR没有影响。
下表5中的实例包括表面活性剂A。
表5:浆料配方细节、去除速率(RR)以及选择性
Figure BDA0001784795690000102
*-表示比较例。
如以上表5中所展示,在实例13-14的组合物中添加表面活性剂A(C6-C10醇乙氧基化硫酸盐)进一步改善了SiN与aSi的选择性,且优于实施例12的组合物。

Claims (5)

1.一种含水化学机械平坦化抛光(CMP抛光)组合物,其包含一种或多种细长、弯曲或结节状阴离子官能胶态二氧化硅颗粒分散体的研磨剂或其与一种或多种阴离子官能球形胶态二氧化硅颗粒分散体的混合物以及烟碱酸,其中阴离子官能胶态二氧化硅颗粒平均为20-50nm,其中所述组合物具有3到5的pH,其中所述烟碱酸的总固体含量按所述组合物的总重量计范围介于0.005wt.%到5wt.%,其中,作为固体的所述研磨剂颗粒的量按组合物总重量计范围介于0.01wt.%到30wt.%。
2.根据权利要求1所述的含水CMP抛光组合物,另外包含一种或多种具有C6至C16烷基、芳基或烷芳基疏水基团的乙氧基化阴离子表面活性剂。
3.根据权利要求2所述的含水CMP抛光组合物,其中所述乙氧基化阴离子表面活性剂的量按所述组合物的总重量计范围介于0.0001wt.%到1wt.%,其中乙氧基化阴离子表面活性剂选自以下:乙氧基化硫酸盐、乙氧基化磺酸、乙氧基化磺酸盐、乙氧基化磷酸盐、乙氧基化膦酸盐或乙氧基化羧酸盐。
4.根据权利要求1所述的含水CMP抛光组合物,其具有3.5到4.5的pH。
5.一种使用根据权利要求1所述的含水CMP抛光组合物的方法,其包含:
用CMP抛光垫和所述含水CMP抛光组合物抛光衬底。
CN201811017096.7A 2017-09-28 2018-08-31 用于抛光中选择性去除氮化物的含水阴离子官能二氧化硅浆料和胺羧酸组合物及其使用方法 Active CN109575815B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/719,020 US11186748B2 (en) 2017-09-28 2017-09-28 Aqueous anionic functional silica slurry and amine carboxylic acid compositions for selective nitride removal in polishing and methods of using them
US15/719020 2017-09-28

Publications (2)

Publication Number Publication Date
CN109575815A CN109575815A (zh) 2019-04-05
CN109575815B true CN109575815B (zh) 2022-06-03

Family

ID=65808744

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201811017096.7A Active CN109575815B (zh) 2017-09-28 2018-08-31 用于抛光中选择性去除氮化物的含水阴离子官能二氧化硅浆料和胺羧酸组合物及其使用方法

Country Status (5)

Country Link
US (1) US11186748B2 (zh)
JP (1) JP7231365B2 (zh)
KR (1) KR102649771B1 (zh)
CN (1) CN109575815B (zh)
TW (1) TWI791599B (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10787592B1 (en) * 2019-05-16 2020-09-29 Rohm And Haas Electronic Materials Cmp Holdings, I Chemical mechanical polishing compositions and methods having enhanced defect inhibition and selectively polishing silicon nitride over silicon dioxide in an acid environment
US10954411B2 (en) * 2019-05-16 2021-03-23 Rohm And Haas Electronic Materials Cmp Holdings Chemical mechanical polishing composition and method of polishing silicon nitride over silicon dioxide and simultaneously inhibiting damage to silicon dioxide
KR20230063182A (ko) * 2021-11-01 2023-05-09 주식회사 케이씨텍 연마용 슬러리 조성물
WO2024116910A1 (ja) * 2022-11-30 2024-06-06 株式会社フジミインコーポレーテッド 窒化ケイ素研磨速度選択性を増強するための組成物及び方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105209563A (zh) * 2013-05-15 2015-12-30 巴斯夫欧洲公司 化学机械抛光(cmp)组合物在抛光含有至少一种iii-v 族材料的基材或层中的用途
CN107207268A (zh) * 2015-01-19 2017-09-26 福吉米株式会社 改性胶体二氧化硅及其制造方法、以及使用其的研磨剂
CN107207945A (zh) * 2015-01-19 2017-09-26 福吉米株式会社 研磨用组合物

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6334880B1 (en) * 1999-12-07 2002-01-01 Silbond Corporation Abrasive media and aqueous slurries for chemical mechanical polishing and planarization
JP4439755B2 (ja) * 2001-03-29 2010-03-24 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いたメモリーハードディスクの製造方法
US7316603B2 (en) 2002-01-22 2008-01-08 Cabot Microelectronics Corporation Compositions and methods for tantalum CMP
JP4954462B2 (ja) 2004-10-19 2012-06-13 株式会社フジミインコーポレーテッド 窒化シリコン膜選択的研磨用組成物およびそれを用いる研磨方法
US7531105B2 (en) 2004-11-05 2009-05-12 Cabot Microelectronics Corporation Polishing composition and method for high silicon nitride to silicon oxide removal rate ratios
US8759216B2 (en) 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
KR101232442B1 (ko) 2007-09-21 2013-02-12 캐보트 마이크로일렉트로닉스 코포레이션 아미노실란으로 처리된 연마제 입자를 이용한 연마 조성물 및 방법
JP2009283751A (ja) * 2008-05-23 2009-12-03 Fujifilm Corp 金属用研磨液、及び研磨方法
JP5369506B2 (ja) * 2008-06-11 2013-12-18 信越化学工業株式会社 合成石英ガラス基板用研磨剤
JP5467804B2 (ja) 2008-07-11 2014-04-09 富士フイルム株式会社 窒化ケイ素用研磨液及び研磨方法
KR101626179B1 (ko) * 2008-09-26 2016-05-31 후소카가쿠코교 가부시키가이샤 굴곡 구조 및/또는 분지 구조를 갖는 실리카 이차 입자를 함유하는 콜로이달 실리카 및 그의 제조 방법
JP2010269985A (ja) 2009-05-22 2010-12-02 Fuso Chemical Co Ltd スルホン酸修飾水性アニオンシリカゾル及びその製造方法
JP5497400B2 (ja) * 2009-10-14 2014-05-21 日本化学工業株式会社 半導体ウエハ研磨用組成物および研磨方法
IT1396789B1 (it) 2009-11-26 2012-12-14 Tm E S P A Termomeccanica Ecologia Impianto di smaltimento rifiuti provvisto di telaio mobile.
US8492277B2 (en) 2010-03-16 2013-07-23 Rohm And Haas Electronic Materials Cmp Holdings, Inc Method of polishing a substrate comprising polysilicon and at least one of silicon oxide and silicon nitride
JP5554121B2 (ja) * 2010-03-31 2014-07-23 富士フイルム株式会社 研磨液及び研磨方法
JP2012028747A (ja) * 2010-06-24 2012-02-09 Hitachi Chem Co Ltd Cmp研磨液及び基板の研磨方法
KR101243331B1 (ko) 2010-12-17 2013-03-13 솔브레인 주식회사 화학 기계적 연마 슬러리 조성물 및 이를 이용하는 반도체 소자의 제조 방법
TWI573864B (zh) 2012-03-14 2017-03-11 卡博特微電子公司 具有高移除率及低缺陷率之對氧化物及氮化物有選擇性之cmp組成物
US8778212B2 (en) 2012-05-22 2014-07-15 Cabot Microelectronics Corporation CMP composition containing zirconia particles and method of use
US9752057B2 (en) * 2014-02-05 2017-09-05 Cabot Microelectronics Corporation CMP method for suppression of titanium nitride and titanium/titanium nitride removal
US9583359B2 (en) * 2014-04-04 2017-02-28 Fujifilm Planar Solutions, LLC Polishing compositions and methods for selectively polishing silicon nitride over silicon oxide films
WO2015200660A1 (en) 2014-06-25 2015-12-30 Cabot Microelectronics Corporation Colloidal silica chemical-mechanical polishing composition
JP6396741B2 (ja) 2014-09-29 2018-09-26 株式会社フジミインコーポレーテッド 研磨用組成物及びその製造方法並びに研磨方法
JP6028046B2 (ja) 2015-01-05 2016-11-16 株式会社フジミインコーポレーテッド 研磨用組成物及びそれを用いた研磨方法
JP6276428B2 (ja) 2015-01-22 2018-02-07 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、及びサセプタ
US9803109B2 (en) * 2015-02-03 2017-10-31 Cabot Microelectronics Corporation CMP composition for silicon nitride removal

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105209563A (zh) * 2013-05-15 2015-12-30 巴斯夫欧洲公司 化学机械抛光(cmp)组合物在抛光含有至少一种iii-v 族材料的基材或层中的用途
CN107207268A (zh) * 2015-01-19 2017-09-26 福吉米株式会社 改性胶体二氧化硅及其制造方法、以及使用其的研磨剂
CN107207945A (zh) * 2015-01-19 2017-09-26 福吉米株式会社 研磨用组合物

Also Published As

Publication number Publication date
CN109575815A (zh) 2019-04-05
KR102649771B1 (ko) 2024-03-19
TW201915136A (zh) 2019-04-16
US11186748B2 (en) 2021-11-30
JP7231365B2 (ja) 2023-03-01
JP2019070114A (ja) 2019-05-09
TWI791599B (zh) 2023-02-11
US20190092971A1 (en) 2019-03-28
KR20190037108A (ko) 2019-04-05

Similar Documents

Publication Publication Date Title
CN109575815B (zh) 用于抛光中选择性去除氮化物的含水阴离子官能二氧化硅浆料和胺羧酸组合物及其使用方法
CN109575814B (zh) 用于浅沟槽隔离的水性二氧化硅浆料和胺羧酸组合物及其使用方法
KR20190071601A (ko) 폴리실리콘 연마용 저 디싱 실리카 입자의 수성 조성물
CN111944428B (zh) 化学机械抛光组合物以及优先于二氧化硅抛光氮化硅并同时抑制对二氧化硅的损伤的方法
CN111944429B (zh) 化学机械抛光组合物以及方法
CN109593474B (zh) 选择用于抛光中氮化物去除的含水二氧化硅浆料和胺羧酸组合物及其使用方法
TWI760494B (zh) 用於淺溝槽隔離的水性二氧化矽漿料組合物及其使用方法
JP7152217B2 (ja) シャロートレンチアイソレーションにおいて使用するための水性シリカスラリー組成物、及びそれらの使用方法
CN118339246A (zh) 用于氧化硅和氮化硅的化学机械平面化抛光组合物

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant