KR20170008865A - 플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털 - Google Patents

플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털 Download PDF

Info

Publication number
KR20170008865A
KR20170008865A KR1020167036172A KR20167036172A KR20170008865A KR 20170008865 A KR20170008865 A KR 20170008865A KR 1020167036172 A KR1020167036172 A KR 1020167036172A KR 20167036172 A KR20167036172 A KR 20167036172A KR 20170008865 A KR20170008865 A KR 20170008865A
Authority
KR
South Korea
Prior art keywords
plasma
substrate
cooling
wafer
substrate carrier
Prior art date
Application number
KR1020167036172A
Other languages
English (en)
Other versions
KR102435723B1 (ko
Inventor
로이 씨. 난고이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Priority to KR1020227028676A priority Critical patent/KR102476967B1/ko
Publication of KR20170008865A publication Critical patent/KR20170008865A/ko
Application granted granted Critical
Publication of KR102435723B1 publication Critical patent/KR102435723B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • H01J37/32724Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67092Apparatus for mechanical treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6835Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using temporarily an auxiliary support
    • H01L21/6836Wafer tapes, e.g. grinding or dicing support tapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Dicing (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Laser Beam Processing (AREA)

Abstract

반도체 웨이퍼들 - 각각의 웨이퍼는 복수의 집적 회로를 가짐 - 을 다이싱하기 위한 방법들 및 장치들이 설명된다. 예에서, 플라즈마 에칭 챔버는 플라즈마 에칭 챔버의 상부 영역에 배치된 플라즈마 소스를 포함한다. 플라즈마 에칭 챔버는 플라즈마 소스 아래에 배치된 캐소드 어셈블리를 또한 포함한다. 캐소드 어셈블리는 기판 캐리어의 후면의 내측 부분을 지지하기 위한 냉각 RF 급전 척을 포함한다. 캐소드 어셈블리는, RF 급전 척을 둘러싸지만 RF 급전 척으로부터 격리되는 냉각 RF 격리 지지체를 또한 포함한다. RF 격리 지지체는 기판 캐리어의 후면의 외측 부분을 지지하기 위한 것이다.

Description

플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털{COOLING PEDESTAL FOR DICING TAPE THERMAL MANAGEMENT DURING PLASMA DICING}
본 발명의 실시예들은 반도체 처리 분야에 관한 것이며, 구체적으로는 반도체 웨이퍼들 - 각각의 웨이퍼는 그 위에 복수의 집적 회로를 가짐 - 을 다이싱하는 방법들에 관한 것이다.
반도체 웨이퍼 처리에서, 집적 회로들은 실리콘 또는 다른 반도체 재료로 구성되는 웨이퍼(기판이라고도 지칭됨) 상에 형성된다. 일반적으로, 집적 회로들을 형성하기 위해, 반도체성, 전도성 또는 절연성 중 어느 하나인 다양한 재료들의 층들이 이용된다. 이러한 재료들은 집적 회로들을 형성하기 위해 다양한 잘 알려진 프로세스들을 이용하여 도핑, 퇴적 및 에칭된다. 각각의 웨이퍼는 다이들이라고 알려진 집적 회로들을 포함하는 다수의 개별 영역을 형성하기 위해 처리된다.
집적 회로 형성 프로세스에 후속하여, 웨이퍼는 더 큰 회로들 내에서의 언패키징된 형태로의 이용을 위해 또는 패키징을 위해 개별 다이를 서로로부터 분리하도록 "다이싱"된다. 웨이퍼 다이싱을 위해 이용되는 2가지 주된 기술은 스크라이빙(scribing) 및 소잉(sawing)이다. 스크라이빙을 이용하면, 미리 형성된 스크라이브 라인들을 따라 웨이퍼 표면을 가로질러 다이아몬드 팁 스크라이브(diamond tipped scribe)가 이동된다. 이러한 스크라이브 라인들은 다이들 간의 공간들을 따라 연장된다. 이 공간들은 통상적으로 "스트리트들(streets)"이라고 지칭된다. 다이아몬드 스크라이브는 스트리트들을 따라 웨이퍼 표면에 얕은 스크래치들을 형성한다. 예컨대 롤러를 이용하여 압력을 인가하면, 웨이퍼는 스크라이브 라인들을 따라 분리된다. 웨이퍼에서의 파괴(breaks)는 웨이퍼 기판의 결정 격자 구조를 따른다. 스크라이빙은 약 10 밀(1000분의 1 인치) 이하의 두께를 갖는 웨이퍼들을 위해 이용될 수 있다. 더 두꺼운 웨이퍼들에 대하여, 소잉이 현재 다이싱을 위해 선호되는 방법이다.
소잉을 이용하면, 높은 rpm(revolutions per minute)으로 회전하는 다이아몬드 팁 소우(diamond tipped saw)가 웨이퍼 표면에 접촉하고, 스트리트들을 따라 웨이퍼를 소잉한다. 웨이퍼는 필름 프레임에 걸쳐 신장된(stretched) 접착제 필름과 같은 지지 부재 상에 탑재되고, 소우는 수직 스트리트들 및 수평 스트리트들 둘 다에 대해 반복하여 적용된다. 스크라이빙 또는 소잉에서의 한가지 문제점은 다이들의 절단된 에지들을 따라 칩들(chips) 및 가우지들(gouges)이 형성될 수 있다는 것이다. 추가로, 균열들이 형성되어 다이들의 에지들로부터 기판으로 전파되고, 집적 회로를 동작불능으로 만들 수 있다. 치핑(chipping) 및 균열은 특히 스크라이빙에서 문제가 되는데, 왜냐하면 정사각형 또는 직사각형 다이의 일 측만이 결정질 구조의 <110> 방향으로 스크라이빙될 수 있기 때문이다. 결과적으로, 다이의 다른 측의 클리빙(cleaving)은 들쭉날쭉한 분리 라인을 초래한다. 치핑 및 균열로 인해, 집적 회로들에 대한 손상을 방지하기 위해 웨이퍼 상의 다이들 간에 추가적인 간격이 요구되는데, 예를 들어 칩들 및 균열들은 실제 집적 회로들로부터 떨어져서 유지된다. 간격 요건의 결과로서, 표준 크기의 웨이퍼 상에 많지 않은 다이들이 형성될 수 있고, 간격 요건이 아니었으면 회로를 위해 이용되었을 수 있는 웨이퍼 면적(wafer real estate)이 낭비된다. 소우의 이용은 반도체 웨이퍼 상의 면적의 낭비를 악화시킨다. 소우의 블레이드는 대략 15 마이크로미터 두께이다. 이와 같이, 소우에 의해 만들어지는 절단부 주위의 균열 및 다른 손상이 집적 회로들에 해를 끼치지 않는다는 것을 보증하기 위해, 종종 다이들 각각의 회로는 3백 내지 5백 마이크로미터 분리되어야 한다. 또한, 절단 후에, 각각의 다이는 소잉 프로세스로부터 기인하는 입자들 및 다른 오염물질들을 제거하기 위해 상당한 세정을 요구한다.
플라즈마 다이싱도 이용되어 왔지만, 역시 한계를 가질 수 있다. 예를 들어, 플라즈마 다이싱의 구현을 방해하는 하나의 한계는 비용일 수 있다. 레지스트를 패터닝하기 위한 표준 리소그래피 동작은 구현 비용을 막대하게 할 수 있다. 아마도 플라즈마 다이싱의 구현을 방해하는 다른 한계는 스트리트들을 따른 다이싱에서 흔하게 만나는 금속들(예를 들어, 구리)의 플라즈마 처리가 생산 문제 또는 수율 제한을 생성할 수 있다는 것이다.
본 발명의 실시예들은 반도체 웨이퍼들 - 각각의 웨이퍼는 그 위에 복수의 집적 회로를 가짐 - 을 다이싱하는 방법들을 포함한다.
실시예에서, 플라즈마 에칭 챔버는 플라즈마 에칭 챔버의 상부 영역에 배치된 플라즈마 소스를 포함한다. 플라즈마 에칭 챔버는 플라즈마 소스 아래에 배치된 캐소드 어셈블리를 또한 포함한다. 캐소드 어셈블리는 기판 캐리어의 후면의 내측 부분을 지지하기 위한 냉각 RF 급전 척(cooling RF-powered chuck)을 포함한다. 캐소드 어셈블리는, RF 급전 척을 둘러싸지만 RF 급전 척으로부터 격리되는 냉각 RF 격리 지지체(cooling RF-isolated support)를 또한 포함한다. RF 격리 지지체는 기판 캐리어의 후면의 외측 부분을 지지하기 위한 것이다.
다른 실시예에서, 복수의 집적 회로를 갖는 반도체 웨이퍼를 다이싱하는 방법은 기판 캐리어에 의해 지지되는 기판을 플라즈마 에칭 챔버 내로 도입하는 단계를 수반한다. 기판은, 집적 회로들을 커버하며 기판의 스트리트들을 노출시키는 패터닝된 마스크를 위에 갖는다. 기판 캐리어는 후면을 갖는다. 이 방법은, 플라즈마 에칭 챔버에 하우징된 캐소드 어셈블리의 RF 급전 척 상에 기판 캐리어의 후면의 내측 부분을 지지하고, RF 급전 척을 둘러싸지만 RF 급전 척으로부터 격리되는 RF 격리 지지체 상에 기판 캐리어의 후면의 외측 부분을 지지하는 단계를 또한 수반한다. 이 방법은 RF 급전 척 및 RF 격리 지지체 둘 다로 기판 캐리어의 후면을 냉각하는 단계를 또한 수반한다. 이 방법은, 기판 캐리어의 후면을 냉각하는 단계를 수행하는 동안, 집적 회로들을 싱귤레이션하기 위해 스트리트들을 통해 기판을 플라즈마 에칭하는 단계를 또한 수반한다.
다른 실시예에서, 복수의 집적 회로를 갖는 반도체 웨이퍼를 다이싱하기 위한 시스템은 팩토리 인터페이스를 포함한다. 이 시스템은, 팩토리 인터페이스와 결합되고 레이저 어셈블리를 하우징하는 레이저 스크라이브 장치를 또한 포함한다. 이 시스템은 팩토리 인터페이스와 결합된 플라즈마 에칭 챔버를 또한 포함한다. 플라즈마 에칭 챔버는 플라즈마 소스 아래에 캐소드 어셈블리를 하우징한다. 캐소드 어셈블리는 기판 캐리어의 후면의 내측 부분을 지지하기 위한 냉각 RF 급전 척을 포함한다. 캐소드 어셈블리는, RF 급전 척을 둘러싸지만 RF 급전 척으로부터 격리되는 냉각 RF 격리 지지체를 또한 포함한다. RF 격리 지지체는 기판 캐리어의 후면의 외측 부분을 지지하기 위한 것이다.
도 1은 본 발명의 실시예에 따른, 다이싱될 반도체 웨이퍼의 상부 평면도를 예시한다.
도 2는 본 발명의 실시예에 따른, 다이싱 마스크가 위에 형성되어 있는, 다이싱될 반도체 웨이퍼의 상부 평면도를 예시한다.
도 3은 본 발명의 실시예에 따른, 싱귤레이션 프로세스 동안 웨이퍼를 지지하기에 적합한 기판 캐리어의 평면도를 예시한다.
도 4는 본 발명의 실시예에 따른 플라즈마 처리 챔버를 위한 캐소드 어셈블리를 예시하며, 캐소드 어셈블리는 냉각 페디스털을 포함한다.
도 5는 본 발명의 다른 실시예에 따른, 상부의 능동 냉각식 쉐도우 링(actively-cooled shadow ring)이나 플라즈마 열 쉴드(plasma thermal shield) 또는 둘 다를 구비하고, 하부의 냉각 페디스털을 구비하는 도 3의 기판 캐리어를 예시한다.
도 6은 본 발명의 실시예에 따른, 플라즈마 챔버 내에서의 열 소산을 위한 능동 냉각식 쉐도우 링의 사시도(angled view)를, 도시된 에칭 캐소드에 대한 상대적인 위치지정(positioning), 및 도시된 웨이퍼 지지체에 대한 상대적인 크기지정(sizing)과 함께 예시한다.
도 7은 본 발명의 실시예에 따른 도 6의 지지 장치의 플라즈마 노출 커플러(plasma exposed coupler)의 확대도를 예시한다.
도 8은 본 발명의 실시예에 따른 도 6의 지지 장치의 벨로우즈 피드-스루(bellows feed-through)의 확대도를 예시한다.
도 9는 본 발명의 실시예에 따른 플라즈마 열 쉴드의 상부 사시도 및 하부 사시도를 예시한다.
도 10은 본 발명의 실시예에 따른, 쉐도우 링의 최상부 표면 상에 위치된 도 9의 플라즈마 열 쉴드의 확대된 단면 사시도를 예시한다.
도 11은 본 발명의 실시예에 따른 에칭 반응기의 단면도를 예시한다.
도 12는 본 발명의 실시예에 따른, 복수의 집적 회로를 포함하는 반도체 웨이퍼를 다이싱하는 방법에서의 동작들을 나타내는 흐름도이다.
도 13a는 본 발명의 실시예에 따른, 도 12의 흐름도의 동작(1202)에 대응하는, 반도체 웨이퍼를 다이싱하는 방법의 수행 동안의 복수의 집적 회로를 포함하는 반도체 웨이퍼의 단면도를 예시한다.
도 13b는 본 발명의 실시예에 따른, 도 12의 흐름도의 동작(1204)에 대응하는, 반도체 웨이퍼를 다이싱하는 방법의 수행 동안의 복수의 집적 회로를 포함하는 반도체 웨이퍼의 단면도를 예시한다.
도 13c는 본 발명의 실시예에 따른, 도 12의 흐름도의 동작(1210)에 대응하는, 반도체 웨이퍼를 다이싱하는 방법의 수행 동안의 복수의 집적 회로를 포함하는 반도체 웨이퍼의 단면도를 예시한다.
도 14는 본 발명의 실시예에 따른, 더 긴 펄스 시간들과 대비하여 펨토초 범위의 레이저 펄스를 이용하는 것의 효과들을 예시한다.
도 15는 본 발명의 실시예에 따른, 최소 폭으로 제한될 수 있는 종래의 다이싱과 대비하여 더 좁은 스트리트들을 이용함으로써 달성되는 반도체 웨이퍼 상에서의 압축(compaction)을 예시한다.
도 16은 본 발명의 실시예에 따른, 그리드 정렬 접근법들과 대비하여 더 조밀한 패킹을 허용하며 그에 따라 웨이퍼당 더 많은 다이를 허용하는 자유형 집적 회로 배열을 예시한다.
도 17은 본 발명의 실시예에 따른, 웨이퍼들 또는 기판들의 레이저 및 플라즈마 다이싱을 위한 툴 레이아웃의 블록도를 예시한다.
도 18은 본 발명의 실시예에 따른 예시적인 컴퓨터 시스템의 블록도를 예시한다.
반도체 웨이퍼들 - 각각의 웨이퍼는 그 위에 복수의 집적 회로를 가짐 - 을 다이싱하기 위한 방법들 및 장치들이 설명된다. 다음의 설명에서, 본 발명의 실시예들의 철저한 이해를 제공하기 위해서 얇은 웨이퍼들을 위한 기판 캐리어들, 스크라이빙 및 플라즈마 에칭 조건들 및 재료 레짐들과 같은 다수의 구체적인 상세가 제시된다. 본 발명의 실시예들은 이러한 구체적인 상세들 없이도 실시될 수 있다는 것이 본 기술분야의 통상의 기술자에게 명백할 것이다. 다른 경우들에서, 본 발명의 실시예들을 불필요하게 모호하게 하지 않기 위해, 집적 회로 제조와 같은 잘 알려진 양태들은 상세하게 설명되지 않는다. 또한, 도면들에 도시된 다양한 실시예들은 예시적인 표현들이며, 반드시 비례에 맞춰 그려지지는 않는다는 점이 이해되어야 한다.
본 명세서에 설명된 하나 이상의 실시예는 플라즈마 다이싱 동안 페디스털 냉각을 통한 다이싱 테이프 열 관리에 관한 것이다. 하나 이상의 실시예는 하이브리드 레이저 스크라이빙 및 플라즈마 에칭 다이 싱귤레이션 프로세스에 관한 것이다.
맥락을 제공하기 위해, 테이프 프레임 상에 탑재되는 웨이퍼의 플라즈마 다이싱 동안, 다이싱 테이프 열 손상 또는 열화에 대한 열 관리가 성공적인 플라즈마 에칭 처리를 보장하는데 중대할 수 있다. 플라즈마 처리 동안의 과열은 다이싱 테이프의 균열, 버닝(burning) 또는 왜곡(distortion)을 초래할 수 있거나, 다이싱 테이프와 지지 프레임 사이의 접착 열화와 같은 다른 문제들을 초래할 수 있다. 이러한 문제들은 에칭 프로세스의 실패 또는 파국적인 웨이퍼 손상을 초래할 수 있다. 현재 실무는 플라즈마 에칭 챔버에 하우징되는 지지 척 상에서의 웨이퍼 또는 기판의 냉각을 수반한다. 냉각은 척 온도를 예를 들어 대략 -10℃의 온도로 유지하는 것과 같이 섭씨 0도 이하로 유지함으로써 달성된다.
캐리어 상의 기판 또는 웨이퍼의 경우에, 웨이퍼 또는 기판을 지지하는 캐리어의 부분은 냉각 척 상에 놓인다. 그러나, (테이프 프레임뿐만 아니라) 웨이퍼 또는 기판 에지와 테이프 프레임 사이의 다이싱 테이프의 부분은 냉각되지 않는 동심 지지 링 상에 놓인다. 따라서, 플라즈마로부터의 열 복사는, 웨이퍼 에지와 프레임 사이의 노출된 다이싱 테이프 및 프레임을 커버하는 쉴드 링(shield ring)을 웨이퍼 또는 기판 위에 추가함으로써 전형적으로 차단된다. 그러나, 이러한 쉴드 링 그 자체로는 캐리어 또는 다이싱 테이프의 노출된 부분을 열 손상 또는 열화로부터 보호하기에 충분하지 않을 수 있다. 추가적으로, 상이한 내구성을 갖는 다양한 타입의 캐리어 또는 다이싱 테이프들은 싱귤레이션을 위해 다양한 에칭 프로세스들을 겪을 수 있다. 따라서, 본 명세서에 설명된 하나 이상의 실시예는 웨이퍼 또는 기판 싱귤레이션을 위한 플라즈마 에칭 동안 다이싱 또는 캐리어 테이프를 보호하기 위한 광범위하고 강건한 접근법을 제공한다.
본 명세서에 설명된 하나 이상의 실시예는 기판 캐리어의 다이싱 또는 캐리어 테이프 및 프레임으로부터 열 부하(heat load)를 제거함으로써 열 관리를 처리한다. 실시예에서, 열 부하는 냉각 페디스털에 의해 기판 또는 웨이퍼 캐리어 후면으로부터 제거된다. 냉각 페디스털은 플라즈마 에칭 챔버 내부의 캐소드 상에 웨이퍼를 지지하는 테이프 프레임과 함께 이용될 수 있다. 하나 이상의 실시예는 웨이퍼 다이 절단이 플라즈마 에칭 챔버 내부에서 수행되는 것을 가능하게 하고, 이것은 수율, 독립적인 형상들(예를 들어, 임의의 다이 형상 또는 레이아웃), 및 더 높은 수율을 위한 더 작은 공간 절단 라인들을 이점으로 한다. 일 실시예에서, 전형적으로 종래의 그라인딩 휠 및 레이저 절단을 이용하여서는 수행될 수 없는 얇은 웨이퍼 어드밴스 패키징 다이싱(thin wafer advance packaging dicing)을 위해 조정(accommodation)이 이루어진다.
업계 최초의 플라즈마 에칭 챔버 내부에서의 웨이퍼 다이 싱귤레이션은 많은 도전과제들에 직면한다는 점이 인식되어야 한다. 예를 들어, 테이프 프레임 웨이퍼 캐리어는, 반드시 진공 챔버를 위해, 또는 플라즈마 에칭에 의해 생성되어 테이프 프레임 내에 통상적으로 포함되는 점착성있는 가요성 테이프(sticky flexible tape)를 버닝하거나 강성(rigid)으로 할 수 있는 열을 수용하도록 설계되지는 않는다. 플라즈마 에칭 챔버 내에서의 처리로부터 기인하는 버닝된 및/또는 강성의 테이프 프레임 테이프는 완성된 웨이퍼 전체의 전손(total loss)을 초래할 수 있다. 따라서, 현재 직면한 문제들 중 하나는 웨이퍼가 플라즈마 에칭 프로세스에 의해 가열되는 동안 프레임 링 및 점착성있는 가요성 테이프의 냉각을 유지할 필요가 잠재적으로 있다는 것이다. 직면한 다른 도전과제는 플라즈마 충격(plasma bombardment)을 프레임 및 테이프 표면들 상이 아니라 웨이퍼 상으로만 분리시킬 필요가 잠재적으로 있다는 것이다.
위에서 설명된 문제들 중 하나 이상을 처리하기 위해, 본 발명의 실시예에 따르면, RF 핫 플레이트(hot plate) 및 냉각 채널들과 정전 척(electrostatic chuck)(ESC) 유전체 페디스털 사이에 새로운 캐소드 배열이 제공된다. 실시예에서, 캐소드 어셈블리에서의 RF 핫 페디스털과 접지된 캐소드 사이에 냉각 링 플레이트가 추가된다. 하나의 이러한 실시예에서, 냉각 링 플레이트는 웨이퍼 캐리어 프레임과 접촉하고, 아마도 테이프의 일부와 접촉한다. 냉각 링 플레이트는 냉각 링 플레이트의 표면으로부터 플라즈마가 생성되지 않도록 접지될 수 있다. 실시예에서, 비전도성 냉각 유체를 활용하여, RF 핫 페디스털에 대한 동일한 냉각 유체가 냉각 플레이트에 연속하여(in series) 재라우팅된다. 이러한 캐소드 어셈블리의 예시적인 실시예는 도 4와 연관하여 아래에 설명된다.
예시적인 응용에서, 추가 맥락을 제공하기 위해, 웨이퍼 또는 기판 다이 싱귤레이션 프로세스는 박형화된 웨이퍼 또는 기판을 접착제를 이용하여 가요성 폴리머 테이프 상에 배치하는 것을 수반한다. 다음에, 가요성 폴리머 테이프는 지지 테이프 프레임 링에 부착된다. 일부 양태들에서, 싱귤레이션된 다이의 신뢰성있는 픽-앤-플레이스 모션(pick and place motion)을 위한 유일한 강건한 형태는 테이프 프레임이다. 그러나, 테이프 프레임의 방사상 위치는 전형적으로 플라즈마 에칭 챔버에서 이용되는 것과 같은 척의 정상 범위 밖에 있다. 추가적으로, 테이프와 테이프 프레임 쌍은 전형적으로 접착제 및 테이프의 허용가능한 온도를 초과하는 온도들에 노출되어서는 안 된다. 상술한 문제들 중 하나 이상을 처리하기 위해, 본 명세서에 설명된 하나 이상의 실시예에 따르면, 냉각 페디스털의 전체 설계는 웨이퍼 또는 기판 캐리어의 후면 냉각을 제공한다.
본 개시내용의 양태에서, 초기 레이저 스크라이브 및 후속 플라즈마 에칭을 수반하는 하이브리드 웨이퍼 또는 기판 다이싱 프로세스가 다이 싱귤레이션을 위해 구현될 수 있다. 레이저 스크라이브 프로세스는 마스크 층, 유기 및 무기 유전체 층들 및 디바이스 층들을 청결하게 제거하기 위해 이용될 수 있다. 다음에, 웨이퍼 또는 기판의 노출 또는 부분적 에칭 시에 레이저 에칭 프로세스가 종료될 수 있다. 다음에, 다이싱 프로세스의 플라즈마 에칭 부분은, 다이 또는 칩 싱귤레이션 또는 다이싱을 야기하기 위해, 벌크 단결정질 실리콘을 관통하는 것과 같이, 웨이퍼 또는 기판의 벌크를 관통하여 에칭하는데 이용될 수 있다. 실시예에서, 웨이퍼 또는 기판은 싱귤레이션 프로세스의 에칭 부분 동안을 포함하여 싱귤레이션 프로세스 동안 테이프 프레임을 갖는 기판 캐리어에 의해 지지된다. 일 실시예에서, 냉각 페디스털이 다이싱 프로세스의 에칭 부분 동안 구현된다.
본 발명의 실시예에 따르면, 싱귤레이션 프로세스에서 플라즈마 에칭 동안 기판 캐리어의 지지된 기판 및 테이프 프레임을 냉각하기 위한 하나 이상의 장치 및 방법들이 본 명세서에 설명된다. 예를 들어, 장치는 프레임에 의해 지지되는 테이프 상에 얇은 실리콘 웨이퍼를 유지하는데 이용되는 필름 프레임을 지지하고 냉각하기 위해 이용될 수 있다. 집적 회로(IC) 패키징에 관련된 제조 프로세스들은 박형화된 실리콘 웨이퍼가 다이 부착 필름과 같은 필름 상에 지지되고 탑재되는 것을 요구할 수 있다. 일 실시예에서, 다이 부착 필름은 또한 기판 캐리어에 의해 지지되고, 얇은 실리콘 웨이퍼를 기판 캐리어에 접착시키기 위해 이용된다.
추가 맥락을 제공하기 위해, 종래의 웨이퍼 다이싱 접근법들은, 전적으로 기계적인 분리에 기초한 다이아몬드 소우 절단, 초기 레이저 스크라이빙 및 후속하는 다이아몬드 소우 다이싱, 또는 나노초 또는 피코초 레이저 다이싱을 포함한다. 얇은 웨이퍼 또는 기판 싱귤레이션, 예컨대 50 마이크로미터 두께 벌크 실리콘 싱귤레이션에 대해, 종래의 접근법들은 불량한 프로세스 품질만을 산출할 뿐이다. 얇은 웨이퍼들 또는 기판들로부터 다이를 싱귤레이션할 때 직면할 수 있는 도전과제들 중 일부는 상이한 층들 간의 미세균열(microcrack) 형성 또는 박리, 무기 유전체 층들의 치핑, 엄격한 커프 폭(kerf width) 제어의 유지, 또는 정밀한 절제 깊이 제어를 포함할 수 있다. 본 발명의 실시예들은 전술한 도전과제들 중 하나 이상을 극복하는 데에 유용할 수 있는 하이브리드 레이저 스크라이빙 및 플라즈마 에칭 다이 싱귤레이션 접근법을 포함한다.
본 발명의 실시예에 따르면, 반도체 웨이퍼를 개별화된 또는 싱귤레이션된 집적 회로들로 다이싱하기 위해 레이저 스크라이빙과 플라즈마 에칭의 조합이 이용된다. 일 실시예에서, 펨토초 기반 레이저 스크라이빙이 전적으로는 아니더라도 본질적으로 비-열적 프로세스(non-thermal process)로서 이용된다. 예를 들어, 펨토초 기반 레이저 스크라이빙은 열 손상 구역 없이 또는 무시할 수 있을 정도의 열 손상 구역을 갖고서 국소화될 수 있다. 실시예에서, 본 명세서의 접근법들은 울트라-로우 k 필름들을 갖는 집적 회로들을 싱귤레이션하는데 이용된다. 종래의 다이싱에서는, 이러한 로우 k 필름들을 수용하기 위해 소우들의 속도가 느려질 필요가 있을 수 있다. 또한, 이제 반도체 웨이퍼들은 다이싱 이전에 종종 박형화된다. 이와 같이, 실시예에서, 펨토초 기반 레이저를 이용한 부분적 웨이퍼 스크라이빙과 마스크 패터닝의 조합, 및 그에 후속하는 플라즈마 에칭 프로세스가 이제 실용적이다. 일 실시예에서, 레이저를 이용한 다이렉트 라이팅(direct writing)은 포토레지스트 층의 리소그래피 패터닝 동작에 대한 필요성을 제거할 수 있고, 매우 적은 비용으로 구현될 수 있다. 일 실시예에서, 플라즈마 에칭 환경에서 다이싱 프로세스를 완료하기 위해 관통 비아 타입 실리콘 에칭이 이용된다.
따라서, 본 발명의 양태에서, 반도체 웨이퍼를 싱귤레이션된 집적 회로들로 다이싱하기 위해 레이저 스크라이빙과 플라즈마 에칭의 조합이 이용될 수 있다. 도 1은 본 발명의 실시예에 따른, 다이싱될 반도체 웨이퍼의 상부 평면도를 예시한다. 도 2는 본 발명의 실시예에 따른, 다이싱 마스크가 위에 형성되어 있는, 다이싱될 반도체 웨이퍼의 상부 평면도를 예시한다.
도 1을 참조하면, 반도체 웨이퍼(100)는 집적 회로들을 포함하는 복수의 영역(102)을 갖는다. 영역들(102)은 수직 스트리트들(104) 및 수평 스트리트들(106)에 의해 분리된다. 스트리트들(104 및 106)은 집적 회로들을 포함하지 않는 반도체 웨이퍼의 영역들이고, 웨이퍼가 다이싱될 위치들로서 설계된다. 본 발명의 일부 실시예들은, 다이들이 개별 칩들 또는 다이로서 분리되도록, 스트리트들을 따라 반도체 웨이퍼를 관통하여 트렌치들을 절단하기 위해 레이저 스크라이브와 플라즈마 에칭 기술의 조합을 이용하는 것을 수반한다. 레이저 스크라이브 및 플라즈마 에칭 프로세스 둘 다는 결정 구조 배향에 독립적이므로, 다이싱될 반도체 웨이퍼의 결정 구조는 웨이퍼를 관통하는 수직 트렌치를 달성하는 데에 중요하지 않을 수 있다.
도 2를 참조하면, 반도체 웨이퍼(100)는 반도체 웨이퍼(100) 위에 퇴적된 마스크(200)를 갖는다. 일 실시예에서, 마스크는 종래의 방식으로 퇴적되어 대략 4-10 마이크로미터 두께의 층을 이룬다. 일 실시예에서, 마스크(200) 및 반도체 웨이퍼(100)의 일부는 반도체 웨이퍼(100)가 다이싱될 스트리트들(104 및 106)을 따른 위치들(예를 들어, 갭들(202 및 204))을 정의하기 위해 레이저 스크라이빙 프로세스를 이용하여 패터닝된다. 반도체 웨이퍼(100)의 집적 회로 영역들은 마스크(200)에 의해 커버되고 보호된다. 마스크(200)의 영역들(206)은, 후속하는 에칭 프로세스 동안 집적 회로들이 에칭 프로세스에 의해 열화되지 않도록 위치된다. 수평 갭들(204) 및 수직 갭들(202)은 반도체 웨이퍼(100)를 최종적으로 다이싱하기 위해 에칭 프로세스 동안 에칭될 영역들을 정의하도록 영역들(206) 사이에 형성된다. 본 발명의 실시예에 따르면, 냉각 페디스털이 다이싱 프로세스의 에칭 부분 동안 구현된다.
위에서 간단하게 언급된 바와 같이, 다이싱하기 위한 기판은 예를 들어 하이브리드 레이저 절제 및 플라즈마 에칭 싱귤레이션 스킴의 다이 싱귤레이션 프로세스의 플라즈마 에칭 부분 동안 기판 캐리어에 의해 지지된다. 예를 들어, 도 3은 본 발명의 실시예에 따른, 싱귤레이션 프로세스 동안 웨이퍼를 지지하기에 적합한 기판 캐리어의 평면도를 예시한다.
도 3을 참조하면, 기판 캐리어(300)는 테이프 링 또는 프레임(304)에 의해 둘러싸인 백킹 테이프(backing tape)(302)의 층을 포함한다. 웨이퍼 또는 기판(306)은 기판 캐리어(300)의 백킹 테이프(302)에 의해 지지된다. 일 실시예에서, 웨이퍼 또는 기판(306)은 다이 부착 필름에 의해 백킹 테이프(302)에 부착된다. 일 실시예에서, 테이프 링(304)은 스테인리스 스틸로 구성된다.
실시예에서, 싱귤레이션 프로세스는 기판 캐리어(300)와 같은 기판 캐리어를 수용하는 크기를 갖는 시스템 내에 수용될 수 있다. 하나의 이러한 실시예에서, 아래에 더 상세하게 설명되는 시스템(1700)과 같은 시스템은, 다르게는 기판 캐리어에 의해 지지되지 않는 기판 또는 웨이퍼를 수용하는 크기를 갖는 시스템 풋프린트에 대해 영향을 미치지 않고서 웨이퍼 프레임을 수용할 수 있다. 일 실시예에서, 이러한 처리 시스템은 300 밀리미터 직경의 웨이퍼 또는 기판을 수용하는 크기를 갖는다. 동일한 시스템은 도 3에 도시된 바와 같이 대략 380 밀리미터 폭 × 380 밀리미터 길이의 웨이퍼 캐리어를 수용할 수 있다. 그러나, 시스템들은 450 밀리미터 웨이퍼 또는 기판, 또는 더 구체적으로는 450 밀리미터 웨이퍼 또는 기판 캐리어들을 핸들링하도록 설계될 수 있다는 점이 인식되어야 한다.
냉각 페디스털의 예시적인 구현으로서, 도 4는 본 발명의 실시예에 따른 플라즈마 처리 챔버를 위한 캐소드 어셈블리를 예시하며, 캐소드 어셈블리는 냉각 페디스털을 포함한다.
도 4를 참조하면, 캐소드 어셈블리(400)는 캐소드 베이스(402)를 포함한다. 캐소드 베이스(402)는, 전도성이고 접지되는(즉, 도 4에 도시된 바와 같이 접지에 결합되는) 격리형 베이스(isolated base)이다. 일 실시예에서, 캐소드 베이스(402)는 무선 주파수(RF) 전력에 결합되지 않지만, RF 로드(406)(RF 핫일 수 있음)가 통과하는 개구(404)를 갖는다. 결과적인 어셈블리는 분할 캐소드 어셈블리(split cathode assembly)라고 지칭될 수 있다. 실시예에서, 캐소드 어셈블리(400)는 플라즈마 처리 챔버의 챔버 바디와 같은 챔버 바디(499)(일부가 도시되어 있음)에 하우징된다.
절연체(408)가 캐소드 베이스(402)를 RF 급전 척(410)(예를 들어, RF 로드(406)로부터 고주파수 AC로서 공급되는 RF 전력)으로부터 분리시킨다. 일 실시예에서, RF 급전 척(410)은 (도 4에 도시된 바와 같이, 고전압 DC 페디스털일 수 있는) 정전 척(ESC) 유전체 페디스털이다. 실시예에서, RF 급전 척(410)은 RF 급전 척의 기판 지지 표면 상에 헬륨(He) 냉각 채널들과 같은 냉각 채널들을 포함하는데, 도 4에서 냉각 채널들의 표시는 포괄적으로 라벨링되어 있다.
RF 격리 지지체(412)가 RF 급전 척(410)을 둘러싸지만 RF 급전 척으로부터 격리된다. 일 실시예에서, RF 격리 지지체(412)는 직접적으로든 간접적으로든 RF 소스에 결합되지 않는다. 일 실시예에서, RF 격리 지지체(412)는 절연체(414)에 의해 RF 급전 척(410)으로부터 격리된다. 일 실시예에서, RF 격리 지지체(412)는 알루미늄으로 구성되고 전도성이다. 실시예에서, RF 격리 지지체(412)는 냉각 링이고, (예를 들어, 세미 접지(semi ground)에) 접지되며, 그에 의해 플라즈마 처리 동작 동안 RF 격리 지지체의 표면으로부터 플라즈마가 생성되지 않는다. 일 실시예에서, 도 4에 도시된 바와 같이, RF 격리 지지체(412)는 또한 절연체(408)에 의해 캐소드 베이스(402)로부터 격리되고, 따라서 RF 격리 지지체(412)와 캐소드 베이스(402) 사이에는 저항성 경로(resistive path)(R)가 있다.
실시예에서, RF 격리 지지체(412)와 RF 급전 척(410)은 함께 기판 캐리어(430)를 수용하는 크기를 갖는다. 예를 들어, 일 실시예에서, 기판 캐리어(430)는 프레임(432)과 테이프(노출된 부분들(434)을 가질 수 있음), 및 기판(436)을 지지하기 위한 기판 지지 영역을 갖는다. 구체적인 실시예에서, 도 4에 도시된 바와 같이, RF 격리 지지체(412)는 기판 캐리어(430)의 프레임(432) 부분의 실질적으로 전부를 수용하는 크기를 갖는 한편, RF 급전 척(410)은 기판 캐리어(430)의 기판 지지 영역의 실질적으로 전부를 수용하는 크기를 갖는다.
그러나, RF 격리 지지체(412)와 RF 급전 척(410)의 상대적인 지지 영역들에서의 변형들은 본 명세서에 설명된 응용들에 적합할 수 있으며, 예를 들어, RF 격리 지지체(412)는 캐리어 프레임(432)에 접촉하는 것에 추가하여 노출된 테이프(434)의 일부에 추가로 접촉할 수 있다는 점이 인식되어야 한다. 구체적인 실시예에서, RF 격리 지지체(412)와 RF 급전 척(410)을 포함하는 캐소드 어셈블리(400)는 듀얼 플라즈마 시스템(DPS)에서 300mm 웨이퍼를 지지하는 테이프 프레임에 대한 RF 격리를 제공하는 냉각 페디스털이라고 지칭될 수 있다.
캐소드 어셈블리(400)는 열 전달 유체 루프(416)를 포함한다. 열 전달 유체 루프(416)는 RF 격리 지지체(412)에서의 채널들(418) 및 RF 급전 척(410)에서의 채널들(420)을 포함한다(도 4에는 예시적인 채널들만이 도시되어 있음). 따라서, 일 실시예에서, RF 격리 지지체(412) 및 RF 급전 척(410) 둘 다는 액체 냉각된다. 실시예에서, 도시된 바와 같이, 열 전달 유체 루프(416)는 RF 격리 지지체(412) 및 RF 급전 척(410)을 통해 연속하여 냉각제를 전달한다. 그렇기는 하지만, 하나의 이러한 실시예에서, RF 격리 지지체(412)와 RF 급전 척(410) 사이의 격리를 유지하기 위해 비전도성 냉각 유체가 이용된다(즉, 공통 열 전달 유체 루프(416)가 이용되더라도, RF 격리 지지체(412)는 RF-프리로 남아있는다). 실시예에서, RF 격리 지지체(412) 및 RF 급전 척(410)으로부터 열을 제거하기 위해 열 전달 유체 루프(416)는 히트 싱크(예를 들어, 냉각장치(chiller))에 열적으로 결합된다. 예를 들어, 상표명 Fluorinert(3M, Inc.) 또는 Galden(Solvey Solexis, Inc), 예컨대 0℃-20℃의 범위에서의 동작을 위한 Galden HT135로 알려진 퍼플루오로폴리에테르(perfluoropolyether) 또는 부동액(anti-freeze)과 같은 임의의 열 전달 액체가 본 기술분야에서 이용될 수 있다. 일 실시예에서, 다음에, RF 급전 척(410)은 냉각 RF 급전 척이고, RF 격리 지지체(412)는 냉각 RF 격리 지지체이며, 냉각 RF 급전 척 및 냉각 RF 격리 지지체 둘 다는 플라즈마 처리 동안 섭씨 0도 미만의 온도로 유지되도록 구성된다.
도 4를 다시 참조하면, 쉐도우 링(490)이 캐소드 어셈블리(400)와 함께 구현될 수 있다. 실시예에서, 쉐도우 링(490)은 스테인리스 스틸 프레임 링(432) 및 노출된 테이프(434)의 일부 위에 배치된다. 쉐도우 링은 플라즈마 충격으로부터 추가적인 보호를 제공하고, 또한 에칭 프로세스들로부터의 프레임 및 테이프 상의 부산물 퇴적(예를 들어, 에칭 프로세스들로부터의 부산물들은 챔버 내의 더 차가운 표면들에 점착되는 경향이 있음)을 감소시키도록 구현될 수 있다. 실시예에서, 아래에 더 상세하게 설명되는 바와 같이, 쉐도우 링 또는 능동 냉각식 쉐도우 링 및/또는 열 쉴드는 도 4의 냉각 페디스털과 함께 구현된다.
도 4를 다시 참조하면, 다음에, RF 로드(406)는 RF 급전 척(410)에만 접속된다. RF 전력은 열 전달 유체 루프(416)에서 이용되는 냉각 유체를 통해서는 전달되지 않는다. 캐리어(430)의 테이프 프레임(432)은 RF 전력 없이 알루미늄 전도성 페디스털(RF 격리 지지체(412))에 접촉하고, 이와 같이 단지 냉각 유체에 의해 냉각된다. 한편, RF 전력은 에칭을 위한 플라즈마를 제어하기 위해 웨이퍼(436) 구역 내에만 집중된다. 실시예에서, 캐리어(430)의 최상부 표면은 이동가능한 쉐도우 링(490)에 의해 추가로 보호된다.
실시예에서, 웨이퍼 두께(예를 들어, 대략 50 마이크로미터)로 인해, 챔버 내의 리프트 핀들은 캐리어(430)를 이동시킬 때 프레임(432)에만 터치하도록 설계된다. 예를 들어, 표준 전달 어셈블리와는 대조적으로, 다르게는 표준 캐소드 내에 포함되는 내측 리프트 핀들은 테이프 프레임 웨이퍼 캐리어를 위한 프레임 링 홀더를 터치하는 외측 리프트 핀들로 대체된다. 외측 리프트 핀 메커니즘은 별개의 리프트 모터에 의해 동작되고/되거나, 캐소드 어셈블리에는 독립적인 쉐도우 리프트 모터와 결합된다.
더 일반적으로, 실시예에서, 캐소드 어셈블리는 RF 핫 영역들을 국한시키기 위해 접지된 캐소드 링과 격리기 링(isolator ring)을 포함하여, 에칭 프로세스들을 위한 플라즈마 제어를 제공한다. 일 실시예에서, 캐소드 RF 핫 영역의 변경은 추가적인 냉각 링 플레이트로 구현된다. 비전도성 냉각 유체는 프레임, 및 아마도 테이프 프레임 웨이퍼 캐리어의 노출된 테이프의 소정 부분의 냉각을 제어하기 위해 이용된다. 추가적인 냉각 링 플레이트를 수용하기 위해, RF 핫 플레이트는 표준 위치지정보다 훨씬 더 높게 위치된다. RF 핫 플레이트는 ESC 페디스털에 결합될 수 있다. 일 실시예에서, RF 핫과 접지를 격리하는 것뿐만 아니라 진공과 대기(atmospheric) 영역들을 격리하기 위해, 추가적인 격리기 플레이트들 및 o-링들이 추가로 구현된다. 실시예에서, RF 로드는 캐소드 어셈블리 내에서 주위의 접지된 부품들로부터 충분한 간극을 갖고서 ESC 플레이트에 직접 탑재된다. 연속적인 열 제거를 통해 스테인리스 스틸 프레임 링을 냉각하기 위해 열 교환기로부터의 능동 냉각을 제공하도록 냉각 채널이 공유된다.
도 4를 다시 참조하면, 아래에 더 상세하게 설명되는 바와 같이, 본 발명의 실시예에 따르면, 복수의 집적 회로를 갖는 반도체 웨이퍼를 다이싱하는 방법은 기판 캐리어(430)에 의해 지지되는 기판(436)을 플라즈마 에칭 챔버(499) 내로 도입하는 단계를 수반한다. 기판(436)은, 집적 회로들을 커버하며 기판(436)의 스트리트들을 노출시키는 패터닝된 마스크를 위에 갖는다. 기판 캐리어(430)는 후면을 갖는다. 기판 캐리어(430)의 후면의 내측 부분은 플라즈마 에칭 챔버의 캐소드 어셈블리(400)의 RF 급전 척(410) 상에 지지된다. 기판 캐리어(430)의 후면의 외측 부분은, RF 급전 척(410)을 둘러싸지만 RF 급전 척으로부터 격리되는 RF 격리 지지체(412) 상에 지지된다. 기판 캐리어(430)의 후면은 RF 급전 척(410) 및 RF 격리 지지체(412) 둘 다로 냉각된다. 기판(436)은, 기판 캐리어(430)의 후면을 냉각하는 동안, 집적 회로들을 싱귤레이션하기 위해 스트리트들을 통해 플라즈마 에칭된다.
더 일반적으로, 플라즈마 에칭 프로세스들 동안, 웨이퍼의 온도는 전형적으로 정전 척에 의해 제어된다는 점이 인식되어야 한다. 웨이퍼 쉴드 링은 프레임과 테이프 쌍에 대한 열 장벽의 역할을 한다. 그러나, 주어진 응용에서 이용되는 구체적인 테이프 및 에칭 프로세스 레시피(특히, 프로세스 시간)에 종속하여, 웨이퍼 쉴드 링은 그 아래에 있는 프레임 및 테이프로 열이 전달되는 것을 차단하기에 충분하지 않을 수 있다. 이러한 경우에, 테이프 및/또는 프레임은 과열되어, 테이프 손상, 또는 프레임으로부터의 테이프의 박리, 또는 테이프와 프레임 사이의 접착의 감소를 야기시킬 수 있다. 프레임과 웨이퍼 사이의 테이프의 이러한 손상은 에칭 프로세스의 실패를 야기시키고, 웨이퍼 손상을 초래할 수 있다. 프레임으로부터의 테이프의 박리는 다른 중대한 다이싱 실패이다. 테이프와 프레임 사이의 접착의 감소는 예를 들어 다이 픽(die pick)을 위해 이용되는 테이프 확장 동작 동안 테이프가 프레임으로부터 박리되게 할 수 있다. 실시예에서, 잠재적인 테이프 손상/열화를 회피하기 위해, 에칭 처리 동안 도 4의 냉각 페디스털을 이용한 테이프 및 프레임의 냉각이 수행된다.
본 발명의 다른 양태에서, 본 명세서에 설명된 하나 이상의 실시예는 플라즈마 에칭 챔버 내에서의 열 소산을 위한 능동 냉각식 쉐도우 링에 관한 것이다. 실시예들은 플라즈마들 및 플라즈마 기반 프로세스들, 열 관리, 능동 냉각 및 열 소산을 포함할 수 있다. 본 명세서에 설명된 하나 이상의 실시예는 플라즈마 챔버 내에서의 열 소산을 위한 플라즈마 열 쉴드에 관한 것이다. 실시예들은 플라즈마들 및 플라즈마 기반 프로세스들, 열 관리, 플라즈마 생성 종들(plasma generated species)의 차폐 및 열 소산을 포함할 수 있다. 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 중 어느 하나 또는 둘 다에 대한 응용들은 다이 싱귤레이션을 포함할 수 있지만, 다른 고출력 에칭 프로세스들 또는 차별화된 에칭 화학반응들도 본 명세서에 설명된 실시예들로부터 혜택을 얻을 수 있다. 플라즈마 열 쉴드는 그 자체로 저가의 수동 컴포넌트로서 이용될 수 있거나, 또는 플라즈마 조건들을 변경하기 위해 열 쉴드로서 능동 냉각식 쉐도우 링과 결합될 수 있다. 후자의 경우에, 플라즈마 열 쉴드는 플라즈마 에칭 프로세스에서 도펀트 소스로서 효과적으로 이용된다. 본 발명의 실시예에 따르면, 플라즈마 에칭 프로세스 동안, 냉각 페디스털이 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다와 함께 구현된다.
예를 들어, 실시예에서, 기판 캐리어 상에 웨이퍼 또는 기판을 포함하는 어셈블리는 필름 프레임(예를 들어, 테이프 링(304)) 및 필름(예를 들어, 백킹 테이프(302))에 영향을 미치지 않고서(예를 들어, 에칭하지 않고서) 플라즈마 에칭 반응기에 종속된다. 하나의 이러한 실시예에서, 다이싱 프로세스의 에칭 부분 동안, 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다가 구현된다. 예에서, 도 5는 본 발명의 실시예에 따른, 상부의 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다를 구비하고, 하부의 냉각 페디스털을 구비하는 도 3의 기판 캐리어를 예시한다.
도 5를 참조하면, 상부도의 관점에서, 테이프 링 또는 프레임(304) 및 백킹 테이프(302)의 층을 포함하는 기판 캐리어(300)는 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다에 의해 커버된다(모든 옵션들이 도 5에서 500으로서 표현됨). 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다(500)는 링 부분(502) 및 내측 개구(504)를 포함한다. 일 실시예에서, 지지되는 웨이퍼 또는 기판(306)의 일부는 또한 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다(500)에 의해 커버된다(구체적으로, 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다(500)의 부분(506)이 웨이퍼 또는 기판(306)의 일부를 커버한다). 구체적인 이러한 실시예에서, 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다(500)의 부분(506)은 웨이퍼 또는 기판(306)의 최외측 부분의 대략 1-1.5mm를 커버한다. 커버되는 부분은 웨이퍼 또는 기판(306)의 배제 영역(exclusion region)으로 지칭될 수 있는데, 왜냐하면 이러한 영역이 플라즈마 프로세스로부터 효과적으로 차폐되기 때문이다. 일 실시예에서, 기판 캐리어는 냉각 페디스털(도시되지 않음) 상에 지지되는 한편, 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다로 커버된다.
이러한 제1 양태에서, 냉각 페디스털과 함께 설명된 바와 같이 이용될 수 있거나 냉각 페디스털을 수용하도록 변경될 수 있는, 플라즈마 챔버 내에서의 열 소산을 위한 예시적인 능동 냉각식 쉐도우 링이 이제 더 상세하게 설명된다. 실시예에서, 웨이퍼 캐리어에 의해 지지되는 웨이퍼의 처리 동안 프로세스 키트 쉐도우 링의 온도를 감소시키기 위해 능동 냉각식 쉐도우 링이 구현될 수 있다. 쉐도우 링의 온도를 감소시킴으로써, 이러한 온도 감소가 없다면 상승된 온도들에서 발생할 다이 싱귤레이션 테이프의 손상 또는 버닝(burning)이 완화될 수 있다. 예를 들어, 손상되거나 버닝된 다이 싱귤레이션 테이프는 통상적으로 웨이퍼 또는 기판을 복구불가능하게 한다. 또한, 테이프 프레임이 상승된 온도에 도달할 때, 부착된 테이프는 손상될 수 있다. 본 명세서에서는 다이 싱귤레이션을 위한 에칭 처리 동안의 테이프 및 프레임 보호의 맥락에서 설명되지만, 능동 냉각식 쉐도우 링의 이용은 수율의 증가를 포함할 수 있는 다른 프로세스 혜택들을 제공할 수 있다. 예를 들어, 온도 감소는 다르게는 RF 전력 감소와 같은 프로세스 조건들의 완화에 의해 달성될 수 있지만, 이것은 수율에 해로운 프로세스 시간의 증가를 요구한다.
도 6은 본 발명의 실시예에 따른, 플라즈마 챔버 내에서의 열 소산을 위한 능동 냉각식 쉐도우 링의 사시도를, 도시된 에칭 캐소드에 대한 상대적인 위치지정, 및 도시된 웨이퍼 캐리어에 대한 상대적인 크기지정과 함께 예시한다.
도 6을 참조하면, 플라즈마 챔버를 위한 지지 장치(600)는 능동 냉각식 쉐도우 링(604) 아래에 위치된 캐소드(602)를 포함한다. 테이프(302)와 프레임(304)을 구비하고 웨이퍼 또는 기판(306)을 지지하는 웨이퍼 또는 기판 지지체(300)는 크기지정의 관점에서 능동 냉각식 쉐도우 링(604) 위에 도시되어 있다. 이러한 웨이퍼 또는 기판 지지체는 도 3과 관련하여 위에서 설명된 바와 같을 수 있다. 이용 시에, 웨이퍼 또는 기판 지지체/캐리어(300)는 실제로는 능동 냉각식 쉐도우 링(604)과 캐소드(602) 사이에 위치된다. 지지 장치(600)는 전동 어셈블리(motorized assembly)(614) 및 케이싱(616)을 또한 포함할 수 있고, 이것도 또한 도 6에 도시되어 있다. 실시예에서, 지지 캐소드는 도 4와 연관하여 설명된 냉각 페디스털과 같은 냉각 페디스털이거나 냉각 페디스털을 포함한다.
도 6을 다시 참조하면, 능동 냉각식 쉐도우 링(604)에는 플라즈마 노출 커플러(608) 내로 피딩되는 벨로우즈 피드-스루(606)에 의해 냉각제 가스 또는 액체가 피딩된다. 실시예에서, 능동 냉각식 쉐도우 링(604)은 3개의 수직 포스트(610)에 의해 고정된 캐소드에 대하여 상승 또는 하강되며, 이 3개의 수직 포스트(610)는 기판 또는 웨이퍼 캐리어(300)의 캐소드(602)로의 도입을 위해 상승된 후 기판 또는 웨이퍼 캐리어(300)를 위치 내로 클램핑하도록 하강될 수 있다. 3개의 수직 포스트(610)는 능동 냉각식 쉐도우 링(604)을 아래의 원형 링(605)에 부착한다. 원형 링(605)은 전동 어셈블리(614)에 접속되고, 능동 냉각식 쉐도우 링(604)의 수직 모션 및 위치지정을 제공한다.
기판 또는 웨이퍼 캐리어(300)는 능동 냉각식 쉐도우 링(604)과 캐소드(602) 사이에 놓이는 복수의 패드 상에 놓일 수 있다. 예시의 목적으로, 하나의 이러한 패드(612)가 도시되어 있다. 그러나, 패드(612)는 실제로는 능동 냉각식 쉐도우 링(604) 아래에 또는 밑에 있고, 하나보다 많은 패드, 예컨대 4개의 패드가 전형적으로 이용된다는 점이 인식되어야 한다. 실시예에서, 능동 냉각식 쉐도우 링(604)은 세라믹 코팅 또는 단단한 양극산화된 표면(hard anodized surface)을 갖는 알루미늄으로 구성된다. 실시예에서, 능동 냉각식 쉐도우 링(604)은, 탑-다운 관점에서, 도 5와 연관하여 설명된 바와 같이, 플라즈마 처리 동안 기판(306)의 최외측 영역, 테이프(302) 및 테이프 프레임(304)을 완전하게 커버하는 크기를 갖는다. 하나의 구체적인 이러한 실시예에서, 웨이퍼에 대한 쉐도우 링의 리딩 에지는 대략 0.050 인치 높이이다.
도 7은 본 발명의 실시예에 따른 도 6의 지지 장치(600)의 플라즈마 노출 커플러(608)의 확대도를 예시한다. 도 7을 참조하면, 벨로우즈 피드-스루의 종단부는 플라즈마 노출 커플러(608)에 결합되는 것으로서 도시되어 있다. 공급과 회수 라인 쌍과 같은 한 쌍의 유체 접속부(720)가 능동 냉각식 쉐도우 링(604)에 출입하는 것으로서 도시되어 있다. 플라즈마 노출 커플러(608)는 예시의 목적으로 한 쌍의 유체 접속부(720)를 드러내기 위해 본질적으로 투명한 것으로서 도시되어 있다. 실시예에서, 한 쌍의 유체 접속부(720)는 능동 냉각식 쉐도우 링(604)을 통해 순환하는 내부 유체 채널로의 입구/출구를 제공한다. 하나의 이러한 실시예에서, 한 쌍의 유체 접속부(720)는 플라즈마 처리 동안 능동 냉각식 쉐도우 링을 통한 냉각 유체 또는 가스의 연속적인 유동을 가능하게 한다. 구체적인 실시예에서, 냉각 채널들은 본질적으로 환형 능동 냉각식 쉐도우 링의 바디의 전체 중간 둘레(mid-circumference)를 지나간다.
실시예에서, 이러한 연속적인 유동을 가능하게 하는 능력은 능동 냉각식 쉐도우 링(604)에 클램핑된 기판 캐리어의 테이프 및 테이프 프레임의 온도 제어(예를 들어, 감소된 온도 노출)를 가능하게 하는 쉐도우 링의 우수한 온도 제어를 제공할 수 있다. 테이프 프레임 및 테이프의 이러한 보호는, 기판 또는 웨이퍼 캐리어의 테이프 및 테이프 프레임에 플라즈마가 도달하는 것을 물리적으로 차단함으로써 제공되는 보호에 추가하여 이루어진다. 본 명세서에서 능동 냉각식 쉐도우 링(604)이라고 지칭되는 유체 채널형 쉐도우 링(fluid-channeled shadow ring)은, 단순히 히트 싱크 또는 냉각되는 챔버 벽과의 접촉에 의해 냉각될 수 있는 수동 냉각식 쉐도우 링들과 구별된다.
하나의 구체적인 실시예에서, 도 4와 연관하여 설명된 냉각 페디스털의 열 전달 유체 루프(416)는 추가로 능동 냉각식 쉐도우 링(604)을 통해 순환하는 내부 유체 채널과 연속하여 이루어진다. 그 실시예에서, RF 격리 지지체(412), RF 급전 척(410) 및 능동 냉각식 쉐도우 링(604)으로부터 열을 제거하기 위해 열 전달 유체 루프(416)가 히트 싱크(예를 들어, 냉각장치)에 열적으로 결합된다.
도 7을 다시 참조하면, 실시예에서, 플라즈마 노출 커플러(608)는 위에 있는 능동 냉각식 쉐도우 링(604)과 아래에 있는 벨로우즈 피드-스루(606) 사이의 고정 길이 접속부이다. 제공되는 커플링(coupling)은, 플라즈마 프로세스에 노출되며 벨로우즈 피드-스루(606)가 플라즈마 프로세스로부터 멀리 위치되는 것을 허용하도록 의도된다. 하나의 이러한 실시예에서, 커플링은 벨로우즈 피드-스루(606)와 능동 냉각식 쉐도우 링(604) 사이의 진공 접속부이다.
도 8은 본 발명의 실시예에 따른 도 6의 지지 장치(600)의 벨로우즈 피드-스루(606)의 확대도를 예시한다. 도 8을 참조하면, 벨로우즈 피드-스루(606)는 내측 슬리브(832)와 외측 벨로우즈(830)를 갖는 것으로서 도시되어 있다. 챔버 바디로의 커플링을 위해 접속부(834)가 제공된다. 벨로우즈 피드-스루(606)의 하부 개구는 능동 냉각식 쉐도우 링(604)을 냉각하기 위해 이용되는 냉각제를 위한 공급 및 회수 라인들을 수용할 수 있다. 일 실시예에서, 외측 벨로우즈(830)는 금속제이고, 내측 슬리브(832)는 공급 및 회수 라인들을 위한 호스들을 수용하기 위한 스테인리스 스틸 보호 슬리브이고, 접속부(834)의 크기지정은 NW40 접속부이다.
실시예에서, 벨로우즈 피드-스루(606)는 진공 상태에 있는 능동 냉각식 쉐도우 링(604)의 수직 모션을 허용한다. 이러한 모션은 필요한 수직 위치지정을 제공하는 전동 어셈블리에 의해 제공된다. 벨로우즈 피드-스루는 이러한 범위의 모션을 위한 공차(allowance)를 가져야 한다. 일 실시예에서, 벨로우즈 피드-스루(606)는 양 단부에서 진공 접속부를 갖는데, 예를 들어 일 단부에서는 진공 중심맞춤 o-링 시일(o-ring seal)을 갖고, 다른 단부에서는 o-링 시일을 갖는다. 일 실시예에서, 벨로우즈 피드-스루(606)의 내측 부분은 유체 라인들이 컨벌루션들(convolutions)을 손상시키지 않고서 통과하는 것을 허용하기 위해 보호 쉴드를 갖는다. 벨로우즈 피드-스루(606)와 플라즈마 노출 커플러(608)는 함께 냉각제 유체를 위한 공급 및 회수 라인들에 대한 경로를 제공한다. 냉각제 유체는 능동 냉각식 쉐도우 링(604)에서 나온 이후에 그리고/또는 능동 냉각식 쉐도우 링에 들어가기 이전에 유체 냉각장치(도시되지 않음)를 통과할 수 있다.
실시예에서, 능동 냉각식 쉐도우 링(604)은 다량의 플라즈마 열을 짧은 시간 기간 내에 소산시킬 수 있다. 하나의 이러한 실시예에서, 능동 냉각식 쉐도우 링(604)은 쉐도우 링을 연속적인 처리 기반으로(on a continuous processing basis) 섭씨 260도보다 높은 온도로부터 섭씨 120도보다 낮은 온도로 감소시킬 수 있도록 설계된다. 실시예에서, 진공-대-대기 접속부가 이용가능하다면, 내부의 플라즈마 노출 컴포넌트는 챔버 내에서 냉각 및/또는 수직 이동될 수 있다.
따라서, 실시예에서, 능동 냉각식 쉐도우 링 어셈블리는 다음의 주요 컴포넌트들: 벨로우즈 피드-스루, 플라즈마 노출 커플링, 유체 채널형 쉐도우 링, 유체 공급 및 회수 라인들, 및 유체 냉각장치를 포함한다. 능동 냉각식 쉐도우 링은, 능동 냉각식 쉐도우 링 위의 플라즈마 보호 커버로서, 아래에 도 9 및 도 10과 연관하여 설명되는 것과 같은 플라즈마 쉴드를 또한 가질 수 있다. 능동 냉각식 쉐도우 링은, 냉각된 유체가 유동하여 플라즈마 유도된 열(plasma-induced heat)을 제거하는 것을 허용하기 위해 내부 유체 채널을 갖는다. 크기지정과 관련하여, 능동 냉각식 쉐도우 링은 냉각 채널들을 수용하기 위해 종래의 쉐도우 링에 비해 대략 1/8 인치 정도로 증가된 두께를 가질 수 있다. 실시예에서, 유체 채널은, 테이프를 손상시키거나 웨이퍼 또는 기판 캐리어의 테이프 프레임의 온도를 크게 상승시킬 온도를 능동 냉각식 쉐도우 링이 전개(develop)하기 이전에 이러한 열을 제거하도록 설계된다. 일 실시예에서, RF 전력을 플라즈마로부터 멀리 또는 RF 전력을 냉각장치로 인출하지 않도록 하기 위해, 유체 자체는 RF 전도성이 아니다. 일 실시예에서, 능동 냉각식 쉐도우 링은 높은 RF 전력을 견딜 수 있고, 플라즈마 부식을 겪지 않는다. 공급 및 회수 유체 라인들은 능동 냉각식 쉐도우 링에 접속되고, 플라즈마 노출 커플러 및 벨로우즈 피드-스루 내부에서 이어진다. 일 실시예에서, 유체 라인들은 RF 전도성이 아니고, 유체 온도를 섭씨 0도 미만으로 핸들링할 수 있다. 일 실시예에서, 연관된 냉각장치는, 전개되는 플라즈마 열을 신속하게 소산시키기에 충분한 용적 용량을 갖고서 섭씨 0도 미만에서 유체를 공급할 수 있다.
실시예에서, 능동 냉각식 쉐도우 링 어셈블리는 어떠한 유체 누설(leaks) 또는 누출(spills)도 이 어셈블리를 하우징하는 프로세스 챔버 내로 도입될 수 없도록 설계된다. 능동 냉각식 쉐도우 링은 조립 및 정비(servicing)를 위해 제거가능하다. 컴포넌트들 또는 키트들은 (1) 유체 라인들을 위한 내측 쉴드 및 진공 피드-스루를 포함하는 내측 쉴드를 구비하는 NW40 크기의 벨로우즈, (2) 필요한 경우, 스왑 키트 부분(swap kit part)일 수 있는 플라즈마 노출 커플러, (3) 알루미늄 코어 및 양극산화된 또는 세라믹 코팅을 갖는 능동 냉각식 쉐도우 링, (4) 단일체(one-piece) 유체 접속 라인을 포함하는 저온 유체 라인들로서 그룹화될 수 있다. 추가적인 하드웨어는 능동 냉각식 쉐도우 링을 위해 특정하게 설계된 2차 냉각장치를 포함할 수 있다.
이러한 제2 양태에서, 냉각 페디스털과 함께 설명된 바와 같이 이용될 수 있거나 냉각 페디스털을 수용하도록 변경될 수 있는, 플라즈마 챔버 내에서의 열 소산을 위한 예시적인 플라즈마 열 쉴드가 이제 더 상세하게 설명된다. 플라즈마 열 쉴드는 종래의 쉐도우 링을 이용하여 플라즈마 에칭되는 기판 캐리어의 열적 보호를 위한 저가의 수동 컴포넌트로서 표준 쉐도우 링과 함께 이용될 수 있다. 한편, 플라즈마 열 쉴드는 위에서 설명된 능동 냉각식 쉐도우 링과 함께 이용될 수 있다.
예로서, 도 9는 본 발명의 실시예에 따른 플라즈마 열 쉴드의 상부 사시도 및 하부 사시도를 예시한다.
도 9의 상부도를 참조하면, 플라즈마 열 쉴드(900)는 내측 개구(901)를 갖는 환형 링이다. 실시예에서, 플라즈마 열 쉴드(900)는 예를 들어 플라즈마 처리 챔버에 포함된 쉐도우 링의 최상부 표면 위에 네스팅하는 것에 의해 이러한 쉐도우 링과 호환가능하게 되는 크기 및 형상을 갖는다. 예를 들어, 하나의 이러한 실시예에서, 상부도에 도시된 플라즈마 열 쉴드(900)의 표면은 처리 동안 플라즈마에 노출되는 표면이다. 상부도의 표면은 제2 상부 표면 영역(904) 위로 상승되는 제1 상부 표면 영역(902)을 포함한다. 제1 상부 표면(902) 및 제2 상부 표면(904)은 각각 경사 영역(sloping region)(906)에 의해 결합된다.
도 9의 하부도를 참조하면, 플라즈마 열 쉴드(900)는 처리 동안 플라즈마에 노출되지 않는 최하부 표면을 갖는다. 하부도의 표면은 제2 하부 표면 영역(914) 아래에 있는 제1 하부 표면 영역(912)을 포함한다. 제1 하부 표면(912) 및 제2 하부 표면(914)은 각각 경사 영역(916)에 의해 결합된다. 일반적으로, 고레벨 관점(high level view)으로부터, 실시예에서, 플라즈마 열 쉴드(900)의 최하부 표면은 상부 표면의 일반적인 토포그래피와 일치한다(reciprocate). 그러나, 도 10과 연관하여 설명되는 바와 같이, 열 소산 응용들을 위해, 플라즈마 열 쉴드(900)의 최하부 표면의 일부 영역들이 제거될 수 있다.
도 10은 본 발명의 실시예에 따른, 쉐도우 링(1000)의 최상부 표면 상에 위치된 도 9의 플라즈마 열 쉴드(900)의 확대 단면 사시도를 예시한다.
도 10을 참조하면, 플라즈마 열 쉴드(900)는 쉐도우 링(1000)(일 실시예에서, 도 6 내지 도 8과 연관하여 설명된 바와 같은 능동 냉각식 쉐도우 링임)의 상부 표면 상에 네스팅된다. 상부 표면 부분들(902, 904 및 906)은 도 9와 관련하여 위에서 설명된 바와 같다. 그러나, 도 10의 확대도에서, 플라즈마 열 쉴드(900)의 최하부 표면 부분들(912, 914 및 916)은 그 내부에 리세스된 부분들을 갖는다는 것을 알 수 있다. 도 10에 도시된 구체적인 예에서, 제1 갭 또는 공동(1052)이 최하부 표면의 영역들(914 및 916) 사이에 형성되고, 제2 갭 또는 공동(1052)이 최하부 표면의 영역들(912 및 916) 사이에 형성된다. 효과는 플라즈마 열 쉴드(900)의 최하부 표면의 대부분을 쉐도우 링(1000)의 최상부 표면으로부터 상승시키는 나머지 3개의 돌출 부분 또는 접촉 피쳐(1050)를 남겨두는 것이다. 실시예에서, 3개의 돌출 부분 또는 접촉 피쳐(1050)는 쉐도우 링(1000)의 상부 표면 상에 네스팅될 때 플라즈마 열 쉴드(900)에 대한 네스팅 지지체를 제공하기 위해 전체 환형 길이에 걸쳐 이어진다.
실시예에서, 3개의 돌출 부분 또는 접촉 피쳐(1050)는 플라즈마 열 쉴드(900)의 최하부 표면의 대부분을 쉐도우 링(1000)의 최상부 표면으로부터 대략 1/16 인치의 높이만큼 상승시킨다. 따라서, 제1 및 제2 갭 또는 공동(1052)은 대략 1/16 인치의 높이를 갖는다. 하나의 이러한 실시예에서, 표면들(914 및 912)의 박형화된 영역들은 대략 1/16 인치의 남아있는 두께를 갖는다. 그러나, 갭들 또는 공동들(1052)의 (높이 치수로서의) 크기는, 하부의 쉐도우 링으로부터 열을 멀리하는 것과 열을 흡수하기 위한 충분한 재료를 플라즈마 열 쉴드에 갖는 것 사이의 트레이드오프를 제공한다는 점이 인식되어야 한다. 따라서, 갭들의 높이는 응용에 따라 달라질 수 있다. 또한, 돌출 또는 접촉 부분들(1050) 사이의 리세스된 부분들의 범위 및 위치들은 동일한 트레이드오프를 겪는다. 일 실시예에서, 리세스되는 플라즈마 열 쉴드(900)의 최하부 표면의 표면적의 양은 대략 85-92%의 범위 내에 있다. 실시예에서, 플라즈마 열 쉴드(900)는, 알루미나(Al2O3), 이트리아(Y2O3), 실리콘 질화물(SiN) 또는 실리콘 탄화물(SiC)과 같지만 이에 제한되지는 않는 재료로 구성된다. 일 실시예에서, 플라즈마 열 쉴드(900)는 프로세스 민감 재료로 구성되고, 플라즈마 프로세스를 위한 도펀트의 소스의 역할을 할 수 있다. 실시예에서, 플라즈마 열 쉴드(900)는, 하부의 쉐도우 링이 뜨거운 표면과 접촉하는 것을 방지하거나 하부의 쉐도우 링을 위한 열 편향기의 역할을 하는데 이용되는 외부 디바이스로서 보여질 수 있다.
실시예에서, 플라즈마 열 쉴드(900) 및 쉐도우 링(1000)은 2개의 별개의 컴포넌트로서 설치된다. 일 실시예에서, 쉐도우 링(1000) 표면과 플라즈마 열 쉴드(900) 장벽 둘 다는 알루미나로 구성되고, 여기서 재료들이 동일하더라도 플라즈마 열 쉴드(900)는 쉐도우 링(1000)의 표면으로부터 멀리 열 소산하는 것을 제공한다. 실시예에서, 플라즈마 열 쉴드(900)는 기판 또는 웨이퍼 캐리어의 테이프 프레임과 접촉하는 쉐도우 링(1000)에 대한 열 전달을 차단한다. 실시예에서, 전력 분배(power distribution)와 관련하여, 캐리어로부터의 테이프의 개방 영역은 쉐도우 링(1000)의 가장 얇은 섹션 아래에 위치될 수 있다. 쉐도우 링(1000)의 결과적인 최저 질량 영역은 온도가 가장 높을 수 있다. 따라서, 실시예에서, 플라즈마 열 쉴드(900)는 플라즈마 열 쉴드(900)의 나머지 영역에 비해 이러한 영역에서 더 큰 질량 및 더 작은 갭을 갖도록 설계되는데, 즉 캐리어의 테이프 영역에 더 큰 비례 질량(proportional mass)이 추가된다.
따라서, 실시예에서, 플라즈마 열 쉴드는 단면에 있어서 기존의 쉐도우 링의 최상부에 위치된 세라믹의 쉘(shell)이다. 일 실시예에서, 플라즈마 열 쉴드의 재료는 쉐도우 링과 동일한 재료이고, 쉐도우 링의 전체 최상부 표면을 커버한다. 플라즈마 열 쉴드의 최상부 표면은 아래의 쉐도우 링에 공형적(conformal)일 수도 있고 공형적이지 않을 수도 있다. 일 실시예에서, 플라즈마 열 쉴드의 최상부 표면은 연속적인 표면이고, 밑면은 쉐도우 링으로의 전도를 감소시키기 위해 제거된 재료 영역들을 갖는다. 실시예에서, 플라즈마 열 쉴드와 쉐도우 링 사이의 접촉점들은 설치 정렬뿐만 아니라, 제거된 영역들 내로의 플라즈마를 금지시키는 것에 관련된다. 제거된 영역은 제거된 영역들에서 상당한 플라즈마를 생성할 만큼 크지 않을 수 있다는 점이 인식되어야 한다. 플라즈마 환경에서, 플라즈마에 의해 생성되는 열은 플라즈마 열 쉴드로 전달된다. 플라즈마 열 쉴드는 온도가 증가되고, 뜨거워지고, 아래의 쉐도우 링에 열을 복사한다. 그러나, 쉐도우 링은 직접적인 플라즈마 접촉에 의해서가 아니라 플라즈마 열 쉴드로부터 복사된 에너지에 의해서만 가열된다.
실시예에서, 플라즈마 열 쉴드는 단일 수동 부품이다. 플라즈마 열 쉴드의 형상 및 재료는 상이한 프로세스 조건들을 위해 변경될 수 있다. 실시예에서, 플라즈마 열 쉴드는 쉐도우 링의 온도를 섭씨 100-120도의 범위 내의 인자만큼 감소시키는데 이용될 수 있다. 플라즈마 열 쉴드는 또한 프로세스 화학반응 변경을 위해 차별화된 재료 커버로서 이용되어, 본질적으로 플라즈마 프로세스에 대한 도펀트 소스를 제공할 수 있다.
실시예에서, 플라즈마 열 쉴드는 능동 냉각식 쉐도우 링과 함께 이용될 수 있다. 따라서, 플라즈마 처리 동안 기판 또는 웨이퍼 캐리어를 보호하기 위해 본 명세서에 설명된 가능한 어셈블리들은 능동 냉각식 쉐도우 링, 플라즈마 열 쉴드를 위에 갖는 쉐도우 링, 또는 플라즈마 열 쉴드를 위에 갖는 능동 냉각식 쉐도우 링을 포함한다. 3가지 시나리오 모두에서, 평면도의 관점으로부터, 노출되는 내측 영역을 갖는 보호 환형 링(protective annular ring)이 캐리어의 플라즈마 처리를 위해 제공된다. 실시예에서, 냉각 페디스털이 위의 3가지 시나리오 중 하나와 함께 구현된다.
본 발명의 양태에서, 에칭 반응기는 기판 캐리어에 의해 지지되는 얇은 웨이퍼 또는 기판의 에칭을 수용하도록 구성된다. 예를 들어, 도 11은 본 발명의 실시예에 따른 에칭 반응기의 단면도를 예시한다.
도 11을 참조하면, 에칭 반응기(1100)는 챔버(1102)를 포함한다. 기판 캐리어(1106)를 챔버(1102)로/로부터 이송하기 위해 엔드 이펙터(1104)가 포함된다. 유도 결합된 플라즈마(inductively coupled plasma)(ICP) 소스(1108)가 챔버(1102)의 상부 부분에 위치된다. 챔버(1102)는 스로틀 밸브(1110) 및 터보 분자 펌프(1112)를 더 구비한다. 에칭 반응기(1100)는 캐소드 어셈블리(1114)(예를 들어, 에칭 캐소드 또는 에칭 전극을 포함하는 어셈블리)를 또한 포함한다. 하나의 이러한 실시예에서, 캐소드 어셈블리(1114)는 도 4와 연관하여 설명된 냉각 페디스털과 같은 냉각 페디스털을 포함한다.
기판 또는 웨이퍼 캐리어(1106)를 수용하는 영역 위에 쉐도우 링 어셈블리(1115)가 포함된다. 실시예에서, 쉐도우 링 어셈블리(1115)는 테이프 프레임 리프트를 포함한다. 실시예에서, 쉐도우 링 어셈블리(1115)는 능동 냉각식 쉐도우 링, 플라즈마 열 쉴드를 위에 갖는 쉐도우 링, 또는 플라즈마 열 쉴드를 위에 갖는 능동 냉각식 쉐도우 링 중 하나이다. 쉐도우 링을 이동시키기 위해 쉐도우 링 액츄에이터(1118)가 포함될 수 있다. 하나의 이러한 실시예에서, 쉐도우 링 액츄에이터(1118)는 테이프 프레임 리프트 및 쉐도우 링에 결합되는 단일 리프트 후프를 이동시킨다. 액츄에이터(1116)와 같은 다른 액츄에이터들도 또한 포함될 수 있다.
실시예에서, 엔드 이펙터(1104)는 기판 캐리어를 핸들링하기 위한 크기를 갖는 로봇 블레이드이다. 하나의 이러한 실시예에서, 로봇식 엔드 이펙터(1104)는 대기압 이하의 압력(sub-atmospheric pressure)(진공) 하에서 에칭 반응기로/로부터 이송하는 동안 필름 프레임 어셈블리(예를 들어, 기판 캐리어(300))를 지지한다. 엔드 이펙터(1104)는 기판 캐리어를 중력의 도움을 받아서 X-Y-Z 축에서 지지하기 위한 피쳐들을 포함한다. 엔드 이펙터(1104)는, 처리 툴의 원형 피쳐들에 대하여 엔드 이펙터를 교정하고 중심맞춤(예를 들어, 에칭 캐소드 중심 또는 원형 실리콘 웨이퍼의 중심)하기 위한 피쳐를 또한 포함한다.
일 실시예에서, 캐소드 어셈블리(1114)의 에칭 전극은 플라즈마 에칭을 가능하게 하기 위해 기판 캐리어와의 RF 및 열 커플링을 허용하도록 구성된다. 하나의 이러한 실시예에서, 도 4와 연관하여 설명된 바와 같이, 캐소드 어셈블리는 냉각 페디스털을 포함하고, 분할 캐소드로서 구성된다.
실시예에서, 쉐도우 링(1115)은, 도 6과 연관하여 설명된 바와 같이, 보호 환형 링, 리프트 후프, 및 리프트 후프와 보호 환형 링 사이에 결합된 3개의 지지 핀을 포함한다. 리프트 후프는 처리 용적 내에서 지지 어셈블리의 방사상 외측에 배치된다. 리프트 후프는 실질적으로 수평 배향으로 샤프트 상에 탑재된다. 샤프트는 리프트 후프를 처리 용적 내에서 수직으로 이동시키도록 액츄에이터에 의해 구동된다. 3개의 지지 핀은 리프트 후프로부터 상향 연장되고, 보호 환형 링을 지지 어셈블리 위에 위치시킨다. 3개의 지지 핀은 보호 환형 링을 리프트 후프에 고정적으로 부착할 수 있다. 보호 환형 링은 처리 용적 내에서 리프트 후프와 함께 수직으로 이동하여, 보호 환형 링이 기판 위의 원하는 거리에 위치될 수 있게 하고/하거나, 기판을 이송하기 위해 외부 기판 핸들링 디바이스(예컨대, 기판 캐리어)가 보호 환형 링과 지지 어셈블리 사이의 처리 용적에 들어갈 수 있다. 3개의 지지 핀은 기판 캐리어가 지지 핀들 사이에서 처리 챔버 안팎으로 이송되는 것을 허용하도록 위치될 수 있다.
다른 양태에서, 도 12는 본 발명의 실시예에 따른 복수의 집적 회로를 포함하는 반도체 웨이퍼를 다이싱하는 방법에서의 동작들을 나타내는 흐름도(1200)이다. 도 13a 내지 도 13c는 본 발명의 실시예에 따른, 흐름도(1200)의 동작들에 대응하는, 반도체 웨이퍼를 다이싱하는 방법의 수행 동안의 복수의 집적 회로를 포함하는 반도체 웨이퍼의 단면도들을 예시한다.
흐름도(1200)의 선택적인 동작(1202) 및 대응하는 도 13a를 참조하면, 반도체 웨이퍼 또는 기판(1304) 위에 마스크(1302)가 형성된다. 마스크(1302)는 반도체 웨이퍼(1304)의 표면 상에 형성된 집적 회로들(1306)을 커버하고 보호하는 층으로 구성된다. 마스크(1302)는 집적 회로들(1306) 각각 사이에 형성된 개재 스트리트들(1307)을 또한 커버한다. 반도체 웨이퍼 또는 기판(1304)은 기판 캐리어(1314)에 의해 지지된다.
실시예에서, 기판 캐리어(1314)는 테이프 링 또는 프레임(도시되지 않음)에 의해 둘러싸인 백킹 테이프의 층을 포함하고, 그 일부가 도 13a에서 1314로서 도시되어 있다. 하나의 이러한 실시예에서, 반도체 웨이퍼 또는 기판(1304)은 도 13a에 도시된 바와 같이 기판 캐리어(1314) 상에 배치된 다이 부착 필름(1316) 상에 배치된다.
본 발명의 실시예에 따르면, 마스크(1302)를 형성하는 것은, 포토레지스트 층 또는 I 라인 패터닝 층과 같은 층을 형성하는 것을 포함하지만 이에 제한되지는 않는다. 예를 들어, 포토레지스트 층과 같은 폴리머 층은 다르게는 리소그래피 프로세스에서 이용하기에 적합한 재료로 구성될 수 있다. 일 실시예에서, 포토레지스트 층은, 248 나노미터(㎚) 레지스트, 193㎚ 레지스트, 157㎚ 레지스트, 극자외선(EUV) 레지스트, 또는 디아조나프토퀴논 증감제(diazonaphthoquinone sensitizer)를 갖는 페놀계 수지 매트릭스(phenolic resin matrix)와 같은 포지티브 포토레지스트 재료로 구성되지만 이에 제한되지는 않는다. 다른 실시예에서, 포토레지스트 층은, 폴리-시스-이소프렌(poly-cis-isoprene) 및 폴리-비닐-신나메이트(poly-vinyl-cinnamate)와 같은 네거티브 포토레지스트 재료로 구성되지만 이에 제한되지는 않는다.
다른 실시예에서, 마스크(1302)는 수용성 마스크 층이다. 실시예에서, 수용성 마스크 층은 수성 매질(aqueous media) 내에서 손쉽게 용해가능하다. 예를 들어, 일 실시예에서, 수용성 마스크 층은 알칼리성 용액, 산성 용액, 또는 탈이온수 중 하나 이상에서 용해되는 재료로 구성된다. 실시예에서, 수용성 마스크 층은 대략 섭씨 50-160도의 범위 내에서의 가열과 같은 가열 프로세스에 대한 노출 시에 수용성(water solubility)을 유지한다. 예를 들어, 일 실시예에서, 수용성 마스크 층은 레이저 및 플라즈마 에칭 싱귤레이션 프로세스에서 이용되는 챔버 조건들에 대한 노출에 후속하여 수용액(aqueous solutions)에서 용해된다. 일 실시예에서, 수용성 마스크 층은, 폴리비닐 알코올, 폴리아크릴산, 덱스트란, 폴리메타크릴산, 폴리에틸렌 이민, 또는 폴리에틸렌 산화물과 같은 재료로 구성되지만 이에 제한되지는 않는다. 구체적인 실시예에서, 수용성 마스크 층은 수용액 내에서 대략 분당 1-15 마이크로미터의 범위의, 더 구체적으로는 대략 분당 1.3 마이크로미터의 에칭률을 갖는다.
다른 실시예에서, 마스크(1302)는 UV 경화가능한 마스크 층이다. 실시예에서, 마스크 층은 UV 경화가능한 층의 접착성을 적어도 대략 80%만큼 감소시키는 UV 광에 대한 민감성을 갖는다. 하나의 이러한 실시예에서, UV 층은 폴리비닐 클로라이드 또는 아크릴계 재료로 구성된다. 실시예에서, UV 경화가능한 층은 UV 광에 대한 노출 시에 약화되는 접착 특성을 갖는 재료 또는 재료들의 스택으로 구성된다. 실시예에서, UV 경화가능한 접착제 필름은 대략 365nm UV 광에 민감하다. 하나의 이러한 실시예에서, 이러한 민감도는 경화를 수행하기 위해 LED 광을 이용하는 것을 가능하게 한다.
실시예에서, 반도체 웨이퍼 또는 기판(1304)은 제조 프로세스를 견디기에 적합한 재료로 구성되고, 그 위에 반도체 처리 층들이 적합하게 배치될 수 있다. 예를 들어, 일 실시예에서, 반도체 웨이퍼 또는 기판(1304)은 결정질 실리콘, 게르마늄 또는 실리콘/게르마늄과 같은 Ⅳ족계 재료로 구성되지만 이에 제한되지는 않는다. 구체적인 실시예에서, 반도체 웨이퍼(1304)를 제공하는 것은 단결정질 실리콘 기판을 제공하는 것을 포함한다. 구체적인 실시예에서, 단결정질 실리콘 기판은 불순물 원자들로 도핑된다. 다른 실시예에서, 반도체 웨이퍼 또는 기판(1304)은 예를 들어 발광 다이오드들(LED들)의 제조에서 이용되는 Ⅲ-Ⅴ 재료 기판과 같이 Ⅲ-Ⅴ 재료로 구성된다.
실시예에서, 반도체 웨이퍼 또는 기판(1304)은 대략 300 마이크로미터 이하의 두께를 갖는다. 예를 들어, 일 실시예에서, 벌크 단결정질 실리콘 기판은 다이 부착 필름(1316)에 고정되기 이전에 후면으로부터 박형화된다. 박형화는 후면 그라인드 프로세스(backside grind process)에 의해 수행될 수 있다. 일 실시예에서, 벌크 단결정질 실리콘 기판은 대략 50-300 마이크로미터 범위 내의 두께로 박형화된다. 실시예에서, 박형화는 레이저 절제 및 플라즈마 에칭 다이싱 프로세스 이전에 수행된다는 점에 유의하는 것이 중요하다. 실시예에서, 다이 부착 필름(1316)(또는 박형화된 또는 얇은 웨이퍼 또는 기판을 기판 캐리어(1314)에 본딩할 수 있는 임의의 적합한 대체물)은 대략 20 마이크로미터의 두께를 갖는다.
실시예에서, 반도체 웨이퍼 또는 기판(1304)은 그 위에 또는 내부에 집적 회로들(1306)의 일부로서 배치된 반도체 디바이스들의 어레이를 갖는다. 이러한 반도체 디바이스들의 예들은, 실리콘 기판 내에 제조되고 유전체 층 내에 인케이싱된(encased) 메모리 디바이스들 또는 상보성 금속 산화물 반도체(CMOS) 트랜지스터들을 포함하지만 이에 제한되지는 않는다. 복수의 금속 인터커넥트는 디바이스들 또는 트랜지스터들 위에 그리고 주위의 유전체 층들 내에 형성될 수 있고, 집적 회로들(1306)을 형성하도록 디바이스들 또는 트랜지스터들을 전기적으로 결합하기 위해 이용될 수 있다. 스트리트들(1307)을 구성하는 재료들은 집적 회로들(1306)을 형성하기 위해 이용되는 재료들과 유사하거나 동일할 수 있다. 예를 들어, 스트리트들(1307)은 유전체 재료들, 반도체 재료들, 및 금속화(metallization)의 층들로 구성될 수 있다. 일 실시예에서, 스트리트들(1307) 중 하나 이상은 집적 회로들(1306)의 실제 디바이스들과 유사한 테스트 디바이스들을 포함한다.
흐름도(1200)의 선택적인 동작(1204) 및 대응하는 도 13b를 참조하면, 마스크(1302)는 갭들(1310)을 갖는 패터닝된 마스크(1308)를 제공하기 위해 레이저 스크라이빙 프로세스를 이용하여 패터닝되어, 집적 회로들(1306) 사이의 반도체 웨이퍼 또는 기판(1304)의 영역들을 노출시킨다. 하나의 이러한 실시예에서, 레이저 스크라이빙 프로세스는 펨토초 기반 레이저 스크라이빙 프로세스이다. 레이저 스크라이빙 프로세스는 집적 회로들(1306) 사이에 본래 형성되는 스트리트들(1307)의 재료를 제거하기 위해 이용된다. 본 발명의 실시예에 따르면, 레이저 스크라이빙 프로세스를 이용하여 마스크(1302)를 패터닝하는 것은, 도 13b에 도시된 바와 같이, 집적 회로들(1306) 사이의 반도체 웨이퍼(1304)의 영역들 내로 부분적으로 트렌치들(1312)을 형성하는 것을 포함한다.
실시예에서, 레이저 스크라이빙 프로세스를 이용하여 마스크(1302)를 패터닝하는 것은 펨토초 범위의 펄스 폭을 갖는 레이저를 이용하는 것을 포함한다. 구체적으로, 가시 스펙트럼에 자외선(UV) 및 적외선(IR)을 더한 범위(이들을 합하여 광대역 광학 스펙트럼이 됨) 내의 파장을 갖는 레이저가 펨토초 기반 레이저, 즉 펨토초(10-15초) 정도의 펄스 폭을 갖는 레이저를 제공하기 위해 이용될 수 있다. 일 실시예에서, 절제는 파장 종속적이지 않거나 본질적으로 파장 종속적이지 않으며, 따라서 복합 필름들(complex films), 예컨대 마스크(1302)의 필름들, 스트리트들(1307), 및 아마도 반도체 웨이퍼 또는 기판(1304)의 일부에 적합하다.
도 14는 본 발명의 실시예에 따른, 더 긴 주파수들과 대비하여 펨토초 범위의 레이저 펄스를 이용하는 것의 효과들을 예시한다. 도 14를 참조하면, 펨토초 범위의 펄스 폭을 갖는 레이저를 이용함으로써, 더 긴 펄스 폭들(예를 들어, 비아(1400B)의 피코초 처리에 의한 손상(1402B) 및 비아(1400A)의 나노초 처리에 의한 상당한 손상(1402A))과 대비하여 열 손상 문제들이 완화되거나 제거된다(예를 들어, 비아(1400C)의 펨토초 처리에 의한 손상(1402C)은 최소이거나 없음). 도 14에 도시된 바와 같이, 비아(1400C)의 형성 동안의 손상의 제거 또는 완화는 (피코초 기반 레이저 절제에 대해 보여지는 것과 같은) 저 에너지 재결합(low energy recoupling)의 부재 또는 (나노초 기반 레이저 절제에 대해 보여지는 것과 같은) 열 평형의 부재로 인한 것일 수 있다.
펄스 폭과 같은 레이저 파라미터들의 선택은 청결한 레이저 스크라이브 절단부들을 달성하기 위해 치핑, 미세균열 및 박리를 최소화하는 성공적인 레이저 스크라이빙 및 다이싱 프로세스를 전개하는 데에 중대할 수 있다. 레이저 스크라이브 절단부가 청결할수록, 궁극적인 다이 싱귤레이션을 위해 수행될 수 있는 에칭 프로세스가 더 원활해진다. 반도체 디바이스 웨이퍼들에서, 상이한 재료 타입들(예를 들어, 전도체들, 절연체들, 반도체들) 및 두께들의 많은 기능 층이 전형적으로 웨이퍼들 위에 배치된다. 이러한 재료들은 폴리머와 같은 유기 재료, 금속, 또는 실리콘 이산화물 및 실리콘 질화물과 같은 무기 유전체를 포함할 수 있지만, 이에 제한되지는 않는다.
대조적으로, 최적이 아닌 레이저 파라미터들이 선택되는 경우, 예를 들어 무기 유전체, 유기 유전체, 반도체 또는 금속 중 2개 이상을 수반하는 적층된 구조물에서, 레이저 절제 프로세스는 박리 문제들을 야기할 수 있다. 예를 들어, 레이저는 측정가능한 흡수 없이 고 밴드갭 에너지 유전체들(예컨대, 대략 9eV 밴드갭을 갖는 실리콘 이산화물)을 관통한다. 그러나, 레이저 에너지는 하부의 금속 또는 실리콘 층에서 흡수되어, 금속 또는 실리콘 층들의 상당한 기화(vaporization)를 야기할 수 있다. 기화는 높은 압력을 생성하여, 상부의 실리콘 이산화물 유전체 층을 리프트-오프(lift-off)할 수 있고, 잠재적으로는 심각한 층간 박리 및 미세균열을 야기한다. 실시예에서, 피코초 기반 레이저 조사 프로세스들은 복합 스택들에서의 박리 및 미세균열을 초래하는 한편, 펨토초 기반 레이저 조사 프로세스들은 동일한 재료 스택들의 박리 또는 미세균열을 초래하지 않는 것으로 입증되었다.
유전체 층들을 직접 절제할 수 있게 하기 위해, 유전체 재료들이 광자들을 강하게 흡수함으로써 전도성 재료와 유사하게 거동하도록 유전체 재료들의 이온화가 발생할 필요가 있을 수 있다. 흡수는 레이저 에너지의 대부분이 유전체 층의 궁극적인 절제 이전에 하부의 실리콘 또는 금속 층들까지 침투하는 것을 차단할 수 있다. 실시예에서, 무기 유전체들의 이온화는, 레이저 강도가 무기 유전체 재료들에서의 광자-이온화(photon-ionization)를 개시하고 이온화에 영향을 미치기에 충분히 높을 때 실현가능하다.
본 발명의 실시예에 따르면, 적합한 펨토초 기반 레이저 프로세스들은 다양한 재료들에서의 비선형 상호작용들을 통상적으로 초래하는 높은 피크 강도(복사 조도(irradiance))를 특징으로 한다. 하나의 이러한 실시예에서, 펨토초 레이저 소스들은 대략 10 펨토초 내지 500 펨토초의 범위, 바람직하게는 100 펨토초 내지 400 펨토초의 범위 내의 펄스 폭을 갖는다. 일 실시예에서, 펨토초 레이저 소스들은 대략 1570 나노미터 내지 200 나노미터의 범위, 바람직하게는 540 나노미터 내지 250 나노미터의 범위 내의 파장을 갖는다. 일 실시예에서, 레이저 및 대응하는 광학 시스템은 작업 표면에서 대략 3 마이크로미터 내지 15 마이크로미터의 범위, 바람직하게는 대략 5 마이크로미터 내지 10 마이크로미터의 범위 내의 초점 스폿(focal spot)을 제공한다.
작업 표면에서의 공간 빔 프로파일은 싱글 모드(가우시안)일 수 있거나 성형된 톱-해트 프로파일(shaped top-hat profile)을 가질 수 있다. 실시예에서, 레이저 소스는 대략 200㎑ 내지 10㎒의 범위, 바람직하게는 대략 500㎑ 내지 5㎒의 범위 내의 펄스 반복률을 갖는다. 실시예에서, 레이저 소스는 작업 표면에서 대략 0.5uJ 내지 100uJ의 범위, 바람직하게는 대략 1uJ 내지 5uJ의 범위 내의 펄스 에너지를 전달한다. 실시예에서, 레이저 스크라이빙 프로세스는 대략 500㎜/sec 내지 5m/sec의 범위, 바람직하게는 대략 600㎜/sec 내지 2m/sec의 범위 내의 속도로 워크피스 표면(work piece surface)을 따라 진행된다.
스크라이빙 프로세스는 단일 과정(pass)으로만 또는 복수의 과정으로 진행될 수 있지만, 실시예에서는 바람직하게는 1-2회 과정으로 진행될 수 있다. 일 실시예에서, 워크피스에서의 스크라이빙 깊이는 대략 5 마이크로미터 내지 50 마이크로미터 깊이의 범위, 바람직하게는 대략 10 마이크로미터 내지 20 마이크로미터 깊이의 범위 내에 있다. 레이저는 주어진 펄스 반복률에서의 단일 펄스들의 트레인, 또는 펄스 버스트들의 트레인으로 인가될 수 있다. 실시예에서, 생성되는 레이저 빔의 커프 폭은, 디바이스/실리콘 계면에서 측정될 때, 대략 2 마이크로미터 내지 15 마이크로미터의 범위 내에 있지만, 실리콘 웨이퍼 스크라이빙/다이싱에서는 바람직하게는 대략 6 마이크로미터 내지 10 마이크로미터의 범위 내에 있다.
무기 유전체(예를 들어, 실리콘 이산화물)의 이온화를 달성하고 무기 유전체의 직접적인 절제 이전에 하부층 손상에 의해 야기되는 박리 및 치핑을 최소화하기 위해 충분히 높은 레이저 강도를 제공하는 것과 같이 혜택 및 이점을 갖는 레이저 파라미터들이 선택될 수 있다. 또한, 파라미터들은 정밀하게 제어되는 절제 폭(예를 들어, 커프 폭) 및 깊이를 갖는 산업 응용을 위한 의미있는 프로세스 수율을 제공하도록 선택될 수 있다. 위에서 설명된 바와 같이, 펨토초 기반 레이저는, 피코초 기반 및 나노초 기반 레이저 절제 프로세스들과 비교하여 볼 때, 이러한 이점들을 제공하기에 훨씬 더 적합하다. 그러나, 펨토초 기반 레이저 절제의 스펙트럼에서조차도, 특정 파장들이 다른 파장들보다 더 양호한 성능을 제공할 수 있다. 예를 들어, 일 실시예에서, UV 범위에 더 가깝거나 UV 범위 내에 있는 파장을 갖는 펨토초 기반 레이저 프로세스는 IR 범위에 더 가깝거나 IR 범위 내에 있는 파장을 갖는 펨토초 기반 레이저 프로세스보다 더 청결한 절제 프로세스를 제공한다. 이러한 구체적인 실시예에서, 반도체 웨이퍼 또는 기판 스크라이빙에 적합한 펨토초 기반 레이저 프로세스는 대략 540 나노미터 이하의 파장을 갖는 레이저에 기초한다. 이러한 구체적인 실시예에서, 대략 540 나노미터 이하의 파장을 갖는 레이저의 대략 400 펨토초 이하의 펄스들이 이용된다. 그러나, 대안적인 실시예에서, 이중 레이저 파장(예를 들어, IR 레이저와 UV 레이저의 조합)이 이용된다.
흐름도(1200)의 선택적인 동작(1206)을 참조하면, 예를 들어, 플라즈마 에칭 동안 기판 캐리어(1314)의 테이프 프레임 및 테이프를 보호하기 위해 보호 커버로 기판의 일부가 커버된다. 일 실시예에서, 도 5와 연관하여 위에서 설명된 바와 같이, 보호 커버는 반도체 웨이퍼 또는 기판(1304)의 전부가 아닌 일부를 노출된 채로 남겨두는 쉐도우 링이다.
흐름도(1200)의 동작(1208)을 참조하면, 기판 캐리어(1314)의 지지된 반도체 웨이퍼 또는 기판(1304) 및 테이프 프레임은 냉각 페디스털에 의해 지지된다. 하나의 이러한 실시예에서, 도 4와 연관하여 위에서 설명된 바와 같은 냉각 페디스털은 쉐도우 마스크 아래에 기판 캐리어(1314)의 반도체 웨이퍼 또는 기판(1304) 및 테이프 프레임을 지지하기 위해 이용된다. 일 실시예에서, 쉐도우 마스크는 저접촉 쉐도우 마스크이다. 일 실시예에서, 냉각 페디스털의 RF 급전 척 및 RF 격리 지지체 둘 다를 통해 냉각제를 유동시킴으로써, 기판 및 테이프 프레임 아래로부터 냉각이 달성된다.
동작들(1206 및 1208)을 다시 참조하면, 본 발명의 선택적인 실시예에 따르면, 다이싱 프로세스의 에칭 부분에 대한 준비로, 기판 캐리어(1314)의 일부가 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다로 커버된다. 일 실시예에서, 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다는 플라즈마 에칭 챔버에 포함된다.
흐름도(1200)의 동작(1210) 및 대응하는 도 13c를 참조하면, 다음으로 반도체 웨이퍼 또는 기판(1304)은 집적 회로들(1306)을 싱귤레이션하기 위해 패터닝된 마스크(1308)에서의 갭들(1310)을 통해 에칭된다. 본 발명의 실시예에 따르면, 반도체 웨이퍼(1304)를 에칭하는 것은, 도 13c에 도시된 바와 같이, 레이저 스크라이빙 프로세스로 형성된 트렌치들(1312)을 연장하고 궁극적으로는 반도체 웨이퍼 또는 기판(1304)을 완전히 관통하여 에칭하기 위해 에칭하는 것을 포함한다.
실시예에서, 반도체 웨이퍼 또는 기판(1304)을 에칭하는 것은 플라즈마 에칭 프로세스를 이용하는 것을 포함한다. 일 실시예에서, 관통 실리콘 비아 타입 에칭 프로세스가 이용된다. 예를 들어, 구체적인 실시예에서, 반도체 웨이퍼 또는 기판(1304)의 재료의 에칭률은 분당 25 마이크로미터 초과이다. 다이 싱귤레이션 프로세스의 플라즈마 에칭 부분을 위해 초고밀도 플라즈마 소스가 이용될 수 있다. 이러한 플라즈마 에칭 프로세스를 수행하기에 적합한 프로세스 챔버의 예는 미국 캘리포니아주 서니베일에 있는 Applied Materials로부터 입수가능한 Applied Centura® Silvia™ Etch 시스템이다. Applied Centura® Silvia™ Etch 시스템은 용량성 및 유도성 RF 커플링을 결합하는데, 이는 자기적 증대(magnetic enhancement)에 의해 제공되는 개선들을 가지면서도, 용량성 커플링만을 이용할 때 가능한 것에 비해, 이온 밀도 및 이온 에너지에 대한 훨씬 더 독립적인 제어를 제공한다. 이 조합은, 매우 낮은 압력에서조차도, 잠재적으로 손상을 주는 높은 DC 바이어스 레벨들 없이 비교적 고밀도의 플라즈마를 달성하기 위해, 이온 에너지로부터 이온 밀도를 효과적으로 디커플링하는 것을 가능하게 한다. 예외적으로 넓은 프로세스 윈도우가 초래된다. 그러나, 실리콘을 에칭할 수 있는 임의의 플라즈마 에칭 챔버가 이용될 수 있다. 예시적인 실시예에서, 본질적으로 정밀한 프로파일 제어 및 사실상 스캘럽이 없는 측벽들을 유지하면서, 종래의 실리콘 에칭률의 대략 40%보다 큰 에칭률로 단결정질 실리콘 기판 또는 웨이퍼(1304)를 에칭하기 위해 딥 실리콘 에칭(deep silicon etch)이 이용된다. 구체적인 실시예에서, 관통 실리콘 비아 타입 에칭 프로세스가 이용된다. 에칭 프로세스는 반응성 가스로부터 생성된 플라즈마에 기초하며, 이러한 반응성 가스는 일반적으로 SF6, C4F8, CHF3, XeF2와 같은 불소계 가스, 또는 비교적 빠른 에칭률로 실리콘을 에칭할 수 있는 임의의 다른 반응성 가스이다. 그러나, 일 실시예에서, 스캘럽 프로파일의 형성을 수반하는 보쉬 프로세스가 이용된다.
실시예에서, 싱귤레이션은 다이 부착 필름(1316)의 패터닝을 더 포함할 수 있다. 일 실시예에서, 다이 부착 필름(1316)은, 레이저 절제, 건식 (플라즈마) 에칭 또는 습식 에칭과 같지만 이에 제한되지는 않는 기술에 의해 패터닝된다. 실시예에서, 다이 부착 필름(1316)은 도 13c에 도시된 바와 같이 다이 부착 필름 부분들(1318)을 제공하기 위해 싱귤레이션 프로세스의 레이저 스크라이브 및 플라즈마 에칭 부분들에 후속하여 순차적으로 패터닝된다. 실시예에서, 패터닝된 마스크(1308)는, 또한 도 13c에 도시된 바와 같이, 싱귤레이션 프로세스의 레이저 스크라이브 및 플라즈마 에칭 부분들 이후에 제거된다. 패터닝된 마스크(1308)는 다이 부착 필름(1316)의 패터닝 이전에, 패터닝 동안에 또는 패터닝 이후에 제거될 수 있다. 실시예에서, 반도체 웨이퍼 또는 기판(1304)은 기판 캐리어(1314)에 의해 지지되는 동안에 에칭된다. 실시예에서, 다이 부착 필름(1316)은 기판 캐리어(1314) 상에 배치된 동안에 또한 패터닝된다.
따라서, 흐름도(1200) 및 도 13a 내지 도 13c를 다시 참조하면, 웨이퍼 다이싱은 마스크를 통하여, (금속화를 포함하여) 웨이퍼 스트리트들을 통하여, 그리고 부분적으로는 실리콘 기판 내로의 초기 레이저 절제에 의해 수행될 수 있다. 레이저 펄스 폭은 펨토초 범위에서 선택될 수 있다. 다음에, 후속하는 관통 실리콘 딥 플라즈마 에칭에 의해 다이 싱귤레이션이 완료될 수 있다. 일 실시예에서, 냉각 페디스털이 다이싱 프로세스의 에칭 부분 동안 구현된다. 하나의 동일한 또는 상이한 실시예에서, 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다가 다이싱 프로세스의 에칭 부분 동안 구현된다. 추가적으로, 다이 부착 필름의 노출된 부분들의 제거가 싱귤레이션된 집적 회로들을 제공하기 위해 수행되며, 각각의 집적 회로는 그 위에 다이 부착 필름의 부분을 갖는다. 다음에, 도 13c에 도시된 바와 같이, 다이 부착 필름 부분들을 포함하는 개별 집적 회로들이 기판 캐리어(1314)로부터 제거될 수 있다. 실시예에서, 싱귤레이션된 집적 회로들은 패키징을 위해 기판 캐리어(1314)로부터 제거된다. 하나의 이러한 실시예에서, 패터닝된 다이 부착 필름(1318)은 각각의 집적 회로의 후면 상에 유지되고, 최종 패키징에 포함된다. 그러나, 다른 실시예에서, 패터닝된 다이 부착 필름(1318)은 싱귤레이션 프로세스 동안 또는 싱귤레이션 프로세스에 후속하여 제거된다.
도 13a 내지 도 13c를 다시 참조하면, 복수의 집적 회로(1306)는 대략 10 마이크로미터 이하의 폭을 갖는 스트리트들(1307)에 의해 분리될 수 있다. 레이저 스크라이빙 접근법(예컨대, 펨토초 기반 레이저 스크라이빙 접근법)의 이용은, 적어도 부분적으로는 레이저의 엄격한 프로파일 제어로 인해, 집적 회로들의 레이아웃에서의 이러한 압축을 가능하게 할 수 있다. 예를 들어, 도 15는 본 발명의 실시예에 따른, 최소 폭으로 제한될 수 있는 종래의 다이싱과 대비하여 더 좁은 스트리트들을 이용함으로써 달성되는 반도체 웨이퍼 또는 기판 상에서의 압축을 예시한다.
도 15를 참조하면, 최소 폭(예를 들어, 레이아웃(1500)에서의 대략 70 마이크로미터 이상의 폭)으로 제한될 수 있는 종래의 다이싱과 대비하여, 더 좁은 스트리트들(예를 들어, 레이아웃(1502)에서의 대략 10 마이크로미터 이하의 폭)을 이용함으로써 반도체 웨이퍼 상에서의 압축이 달성된다. 그러나, 펨토초 기반 레이저 스크라이빙 프로세스에 의해 스트리트 폭을 10 마이크로미터 미만으로 감소시킬 수 있더라도, 그것이 항상 바람직하지는 않을 수 있다는 점이 이해되어야 한다. 예를 들어, 일부 응용들은 집적 회로들을 분리하는 스트리트들 내에 더미 또는 테스트 디바이스들을 제조하기 위해 적어도 40 마이크로미터의 스트리트 폭을 요구할 수 있다.
도 13a 내지 도 13c를 다시 참조하면, 복수의 집적 회로(1306)는 비제한적인 레이아웃으로 반도체 웨이퍼 또는 기판(1304) 상에 배열될 수 있다. 예를 들어, 도 16은 더 조밀한 패킹을 허용하는 자유형 집적 회로 배열을 예시한다. 본 발명의 실시예에 따르면, 더 조밀한 패킹은 그리드 정렬 접근법들과 대비하여 웨이퍼당 더 많은 다이를 제공할 수 있다. 도 16을 참조하면, 자유형 레이아웃(예를 들어, 반도체 웨이퍼 또는 기판(1602) 상의 비제한적인 레이아웃)은 그리드 정렬 접근법들(예를 들어, 반도체 웨이퍼 또는 기판(1600) 상의 제한적인 레이아웃)과 대비하여 더 조밀한 패킹을 허용하며 그에 따라 웨이퍼당 더 많은 다이를 허용한다. 실시예에서, 레이저 절제 및 플라즈마 에칭 싱귤레이션 프로세스의 속도는 다이 크기, 레이아웃, 또는 스트리트들의 개수에 독립적이다.
단일 프로세스 툴이 하이브리드 레이저 절제 및 플라즈마 에칭 싱귤레이션 프로세스에서의 동작들 중 다수 또는 전부를 수행하도록 구성될 수 있다. 예를 들어, 도 17은 본 발명의 실시예에 따른, 웨이퍼들 또는 기판들의 레이저 및 플라즈마 다이싱을 위한 툴 레이아웃의 블록도를 예시한다.
도 17을 참조하면, 프로세스 툴(1700)은 팩토리 인터페이스(1702)(FI)를 포함하고, 이 팩토리 인터페이스에는 복수의 로드 록(1704)이 결합되어 있다. 클러스터 툴(1706)이 팩토리 인터페이스(1702)와 결합된다. 클러스터 툴(1706)은 하나 이상의 플라즈마 에칭 챔버, 예컨대 플라즈마 에칭 챔버(1708)를 포함한다. 레이저 스크라이브 장치(1710)가 팩토리 인터페이스(1702)에 또한 결합된다. 일 실시예에서, 프로세스 툴(1700)의 전체 풋프린트는 도 17에 도시된 바와 같이 대략 3500 밀리미터(3.5 미터) × 대략 3800 밀리미터(3.8 미터)일 수 있다.
실시예에서, 레이저 스크라이브 장치(1710)는 펨토초 기반 레이저를 하우징한다. 펨토초 기반 레이저는 위에서 설명된 레이저 절제 프로세스들과 같은 하이브리드 레이저 및 에칭 싱귤레이션 프로세스의 레이저 절제 부분을 수행하기에 적합할 수 있다. 일 실시예에서, 레이저 스크라이브 장치(1710)에는 이동가능 스테이지가 또한 포함되고, 이동가능 스테이지는 펨토초 기반 레이저에 대하여 웨이퍼 또는 기판(또는 웨이퍼나 기판의 캐리어)을 이동시키도록 구성된다. 구체적인 실시예에서, 펨토초 기반 레이저도 또한 이동가능하다. 일 실시예에서, 레이저 스크라이브 장치(1710)의 전체 풋프린트는 도 17에 도시된 바와 같이 대략 2240 밀리미터 × 대략 1270 밀리미터일 수 있다.
실시예에서, 하나 이상의 플라즈마 에칭 챔버(1708)는 복수의 집적 회로를 싱귤레이션하기 위해 패터닝된 마스크에서의 갭들을 통해 웨이퍼 또는 기판을 에칭하도록 구성된다. 하나의 이러한 실시예에서, 하나 이상의 플라즈마 에칭 챔버(1708)는 딥 실리콘 에칭 프로세스를 수행하도록 구성된다. 구체적인 실시예에서, 하나 이상의 플라즈마 에칭 챔버(1708)는 미국 캘리포니아주 서니베일에 있는 Applied Materials로부터 입수가능한 Applied Centura® Silvia™ Etch 시스템이다. 에칭 챔버는, 단결정질 실리콘 기판들 또는 웨이퍼들 상에 또는 내에 하우징되는 싱귤레이션된 집적 회로들을 생성하는데 이용되는 딥 실리콘 에칭을 위해 특정하게 설계될 수 있다. 실시예에서, 높은 실리콘 에칭률을 촉진하기 위해 고밀도 플라즈마 소스가 플라즈마 에칭 챔버(1708)에 포함된다. 실시예에서, 싱귤레이션 또는 다이싱 프로세스의 높은 제조 수율을 가능하게 하기 위해 하나보다 많은 에칭 챔버가 프로세스 툴(1700)의 클러스터 툴(1706) 부분에 포함된다. 본 발명의 실시예에 따르면, 에칭 챔버들 중 하나 이상은 냉각 페디스털을 구비한다. 하나의 동일한 또는 상이한 실시예에서, 에칭 챔버들 중 하나 이상은 능동 냉각식 쉐도우 링이나 플라즈마 열 쉴드 또는 둘 다를 구비한다.
팩토리 인터페이스(1702)는 클러스터 툴(1706) 및 레이저 스크라이브 장치(1710)를 갖는 외부 제조 설비 사이의 인터페이스를 위한 적합한 대기 포트(atmospheric port)일 수 있다. 팩토리 인터페이스(1702)는 웨이퍼들(또는 웨이퍼들의 캐리어들)을 저장 유닛들(예컨대, 정면 개구 통합 포드들(front opening unified pods))로부터 클러스터 툴(1706) 또는 레이저 스크라이브 장치(1710) 중 어느 하나 또는 둘 다에 이송하기 위한 암들 또는 블레이드들을 갖는 로봇들을 포함할 수 있다.
클러스터 툴(1706)은 싱귤레이션의 방법에서의 기능들을 수행하기에 적합한 다른 챔버들을 포함할 수 있다. 예를 들어, 일 실시예에서, 추가적인 에칭 챔버를 대신하여, 퇴적 챔버(1712)가 포함된다. 퇴적 챔버(1712)는 웨이퍼 또는 기판의 레이저 스크라이빙 이전에 웨이퍼 또는 기판의 디바이스 층 상에 또는 위에 마스크를 퇴적하도록 구성될 수 있다. 하나의 이러한 실시예에서, 퇴적 챔버(1712)는 수용성 마스크 층을 퇴적하기에 적합하다. 다른 실시예에서, 추가적인 에칭 챔버를 대신하여, 습식/건식 스테이션(1714)이 포함된다. 습식/건식 스테이션은 기판 또는 웨이퍼의 레이저 스크라이브 및 플라즈마 에칭 싱귤레이션 프로세스에 후속하여 수용성 마스크를 제거하거나 잔류물들 및 파편들을 세정하기에 적합할 수 있다. 실시예에서, 계측 스테이션이 프로세스 툴(1700)의 컴포넌트로서 또한 포함된다.
본 발명의 실시예들은 본 발명의 실시예들에 따른 프로세스를 수행하도록 컴퓨터 시스템(또는 다른 전자 디바이스들)을 프로그래밍하기 위해 이용될 수 있는 명령어들이 저장되어 있는 머신 판독가능 매체를 포함할 수 있는 컴퓨터 프로그램 제품 또는 소프트웨어로서 제공될 수 있다. 일 실시예에서, 컴퓨터 시스템은 도 17과 연관하여 설명된 프로세스 툴(1700)과 또는 도 11과 연관하여 설명된 에칭 챔버(1100)와 결합된다. 머신 판독가능 매체는 머신(예를 들어, 컴퓨터)에 의해 판독가능한 형태로 정보를 저장 또는 전송하기 위한 임의의 메커니즘을 포함한다. 예를 들어, 머신 판독가능(예를 들어, 컴퓨터 판독가능) 매체는 머신(예를 들어, 컴퓨터) 판독가능 저장 매체(예를 들어, 판독 전용 메모리("ROM"), 랜덤 액세스 메모리("RAM"), 자기 디스크 저장 매체, 광학 저장 매체, 플래시 메모리 디바이스들 등), 머신(예를 들어, 컴퓨터) 판독가능 전송 매체(전기적, 광학적, 음향적 또는 다른 형태의 전파 신호들(예를 들어, 적외선 신호들, 디지털 신호들 등)) 등을 포함한다.
도 18은 머신으로 하여금 본 명세서에 설명된 방법론들 중 임의의 하나 이상을 수행하게 하기 위한 명령어들의 세트가 실행될 수 있는 컴퓨터 시스템(1800)의 예시적인 형태의 머신의 도식적인 표현을 예시한다. 대안적인 실시예들에서, 머신은 근거리 네트워크(LAN), 인트라넷, 익스트라넷 또는 인터넷에서 다른 머신들에 접속(예를 들어, 네트워킹)될 수 있다. 머신은 클라이언트-서버 네트워크 환경에서의 서버 또는 클라이언트 머신으로서, 또는 피어-투-피어(또는 분산형) 네트워크 환경에서의 피어 머신으로서 동작할 수 있다. 머신은 개인용 컴퓨터(PC), 태블릿 PC, 셋톱 박스(STB), PDA(Personal Digital Assistant), 셀룰러 전화기, 웹 어플라이언스, 서버, 네트워크 라우터, 스위치 또는 브리지, 또는 머신에 의해 취해질 액션들을 특정하는 명령어들의 세트(순차적 또는 다른 방식)를 실행할 수 있는 임의의 머신일 수 있다. 또한, 단일 머신만이 예시되어 있지만, "머신"이라는 용어는 또한 본 명세서에 설명된 방법론들 중 임의의 하나 이상을 수행하도록 명령어들의 세트(또는 복수의 세트)를 개별적으로 또는 공동으로 실행하는 머신들(예를 들어, 컴퓨터들)의 임의의 집합을 포함하는 것으로 간주되어야 한다.
예시적인 컴퓨터 시스템(1800)은, 버스(1830)를 통해 서로 통신하는, 프로세서(1802), 메인 메모리(1804)(예를 들어, 판독 전용 메모리(ROM), 플래시 메모리, 동기식 DRAM(SDRAM) 또는 램버스 DRAM(RDRAM)과 같은 동적 랜덤 액세스 메모리(DRAM) 등), 정적 메모리(1806)(예를 들어, 플래시 메모리, 정적 랜덤 액세스 메모리(SRAM) 등) 및 2차 메모리(1818)(예를 들어, 데이터 저장 디바이스)를 포함한다.
프로세서(1802)는 마이크로프로세서, 중앙 처리 유닛 등과 같은 하나 이상의 범용 처리 디바이스를 나타낸다. 더 구체적으로, 프로세서(1802)는 CISC(complex instruction set computing) 마이크로프로세서, RISC(reduced instruction set computing) 마이크로프로세서, VLIW(very long instruction word) 마이크로프로세서, 다른 명령어 세트들을 구현하는 프로세서, 또는 명령어 세트들의 조합을 구현하는 프로세서들일 수 있다. 또한, 프로세서(1802)는 주문형 집적 회로(ASIC), 필드 프로그램가능 게이트 어레이(FPGA), 디지털 신호 프로세서(DSP), 네트워크 프로세서 등과 같은 하나 이상의 특수 목적 처리 디바이스일 수 있다. 프로세서(1802)는 본 명세서에 설명된 동작들을 수행하기 위해 처리 로직(1826)을 실행하도록 구성된다.
컴퓨터 시스템(1800)은 네트워크 인터페이스 디바이스(1808)를 더 포함할 수 있다. 컴퓨터 시스템(1800)은 비디오 디스플레이 유닛(1810)(예를 들어, 액정 디스플레이(LCD), 발광 다이오드 디스플레이(LED) 또는 CRT(cathode ray tube)), 영숫자 입력 디바이스(1812)(예를 들어, 키보드), 커서 제어 디바이스(1814)(예를 들어, 마우스) 및 신호 생성 디바이스(1816)(예를 들어, 스피커)를 또한 포함할 수 있다.
2차 메모리(1818)는, 본 명세서에 설명된 방법론들 또는 기능들 중 임의의 하나 이상을 구현하는 명령어들의 하나 이상의 세트(예를 들어, 소프트웨어(1822))가 저장되어 있는 머신 액세스가능 저장 매체(또는 더 구체적으로는 컴퓨터 판독가능 저장 매체)(1832)를 포함할 수 있다. 또한, 소프트웨어(1822)는 컴퓨터 시스템(1800)에 의한 소프트웨어의 실행 동안 완전하게 또는 적어도 부분적으로 메인 메모리(1804) 내에 그리고/또는 프로세서(1802) 내에 상주할 수 있으며, 메인 메모리(1804) 및 프로세서(1802)는 머신 판독가능 저장 매체를 또한 구성한다. 소프트웨어(1822)는 네트워크 인터페이스 디바이스(1808)를 통해 네트워크(1820)를 경유하여 추가로 송신 또는 수신될 수 있다.
예시적인 실시예에서는 머신 액세스가능 저장 매체(1832)가 단일의 매체인 것으로 도시되어 있지만, "머신 판독가능 저장 매체"라는 용어는 명령어들의 하나 이상의 세트를 저장하는 단일의 매체 또는 복수의 매체(예를 들어, 중앙집중형 또는 분산형 데이터베이스 및/또는 연관된 캐시들 및 서버들)를 포함하는 것으로 간주되어야 한다. "머신 판독가능 저장 매체"라는 용어는, 머신에 의한 실행을 위해 명령어들의 세트를 저장하거나 인코딩할 수 있으며 머신으로 하여금 본 발명의 방법론들 중 임의의 하나 이상을 수행하게 하는 임의의 매체를 포함하는 것으로 또한 간주되어야 한다. 따라서, "머신 판독가능 저장 매체"라는 용어는 고체 상태 메모리, 및 광학 및 자기 매체를 포함하지만 이에 제한되지는 않는 것으로 간주되어야 한다.
본 발명의 실시예에 따르면, 머신 액세스가능 저장 매체에는, 복수의 집적 회로를 갖는 반도체 웨이퍼를 다이싱하는 방법을 데이터 처리 시스템이 수행하게 하는 명령어들이 저장되어 있다. 이 방법은 기판 캐리어에 의해 지지되는 기판을 플라즈마 에칭 챔버 내로 도입하는 단계를 수반한다. 기판은, 집적 회로들을 커버하며 기판의 스트리트들을 노출시키는 패터닝된 마스크를 위에 갖는다. 기판 캐리어는 후면을 갖는다. 이 방법은, 플라즈마 에칭 챔버에 하우징된 캐소드 어셈블리의 RF 급전 척 상에 기판 캐리어의 후면의 내측 부분을 지지하고, RF 급전 척을 둘러싸지만 RF 급전 척으로부터 격리되는 RF 격리 지지체 상에 기판 캐리어의 후면의 외측 부분을 지지하는 단계를 또한 수반한다. 이 방법은 RF 급전 척 및 RF 격리 지지체 둘 다로 기판 캐리어의 후면을 냉각하는 단계를 또한 수반한다. 이 방법은, 기판 캐리어의 후면을 냉각하는 단계를 수행하는 동안, 집적 회로들을 싱귤레이션하기 위해 스트리트들을 통해 기판을 플라즈마 에칭하는 단계를 또한 수반한다.
이와 같이, 반도체 웨이퍼들 - 각각의 웨이퍼는 복수의 집적 회로를 가짐 - 을 다이싱하기 위한 방법들 및 장치들이 개시되었다.

Claims (15)

  1. 플라즈마 에칭 챔버로서,
    상기 플라즈마 에칭 챔버의 상부 영역에 배치된 플라즈마 소스; 및
    상기 플라즈마 소스 아래에 배치된 캐소드 어셈블리
    를 포함하고,
    상기 캐소드 어셈블리는,
    기판 캐리어의 후면의 내측 부분을 지지하기 위한 냉각 RF 급전 척(cooling RF-powered chuck); 및
    상기 RF 급전 척을 둘러싸지만 상기 RF 급전 척으로부터 격리되는 냉각 RF 격리 지지체(cooling RF-isolated support) - 상기 RF 격리 지지체는 상기 기판 캐리어의 후면의 외측 부분을 지지하기 위한 것임 -
    를 포함하는, 플라즈마 에칭 챔버.
  2. 제1항에 있어서,
    상기 냉각 RF 급전 척 및 상기 냉각 RF 격리 지지체는 공통 열 전달 유체 루프(common heat transfer fluid loop)를 갖는, 플라즈마 에칭 챔버.
  3. 제1항에 있어서,
    상기 캐소드 어셈블리는 상기 냉각 RF 격리 지지체에서의 개구를 통과하는 RF 로드(rod)를 더 포함하고, 상기 RF 로드는 상기 냉각 RF 급전 척에는 접촉하지만, 상기 냉각 RF 격리 지지체에는 접촉하지 않는, 플라즈마 에칭 챔버.
  4. 제1항에 있어서,
    상기 냉각 RF 급전 척 및 상기 냉각 RF 격리 지지체는 플라즈마 처리 동안 섭씨 0도 미만의 온도로 유지되도록 구성되는, 플라즈마 에칭 챔버.
  5. 제1항에 있어서,
    플라즈마 처리 동안 상기 캐소드 어셈블리와 상기 플라즈마 소스 사이에 위치되도록 구성된 쉐도우 링 어셈블리를 더 포함하는 플라즈마 에칭 챔버.
  6. 복수의 집적 회로를 포함하는 반도체 웨이퍼를 다이싱하는 방법으로서,
    기판 캐리어에 의해 지지되는 기판을 플라즈마 에칭 챔버 내로 도입하는 단계 - 상기 기판은, 집적 회로들을 커버하며 상기 기판의 스트리트들(streets)을 노출시키는 패터닝된 마스크를 위에 갖고, 상기 기판 캐리어는 후면을 가짐 -;
    상기 플라즈마 에칭 챔버에 하우징된 캐소드 어셈블리의 RF 급전 척 상에 상기 기판 캐리어의 후면의 내측 부분을 지지하고, 상기 RF 급전 척을 둘러싸지만 상기 RF 급전 척으로부터 격리되는 RF 격리 지지체 상에 상기 기판 캐리어의 후면의 외측 부분을 지지하는 단계;
    상기 RF 급전 척 및 상기 RF 격리 지지체 둘 다로 상기 기판 캐리어의 후면을 냉각하는 단계; 및
    상기 기판 캐리어의 후면을 냉각하는 단계를 수행하는 동안, 상기 집적 회로들을 싱귤레이션하기 위해 상기 스트리트들을 통해 상기 기판을 플라즈마 에칭하는 단계
    를 포함하는 방법.
  7. 제6항에 있어서,
    상기 RF 급전 척 및 상기 RF 격리 지지체 둘 다로 상기 기판 캐리어의 후면을 냉각하는 단계는, 상기 RF 급전 척 및 상기 RF 격리 지지체 둘 다에 공통인 열 전달 유체 루프를 통해 냉각 유체를 유동시키는 단계를 포함하는, 방법.
  8. 제6항에 있어서,
    상기 플라즈마 에칭 동안, 상기 RF 급전 척 및 상기 RF 격리 지지체 둘 다는 섭씨 0도 미만의 온도로 유지되는, 방법.
  9. 제6항에 있어서,
    상기 기판 캐리어는 외측 테이프 프레임, 및 기판 영역을 갖는 지지 다이싱 테이프(supporting dicing tape)를 포함하고, 상기 기판 캐리어의 후면을 냉각하는 단계는 상기 다이싱 테이프의 상기 기판 영역 및 상기 테이프 프레임을 냉각하는 단계를 포함하는, 방법.
  10. 제9항에 있어서,
    상기 RF 급전 척은 상기 다이싱 테이프의 상기 기판 영역을 냉각하고, 상기 RF 격리 지지체는 상기 테이프 프레임을 냉각하는, 방법.
  11. 제10항에 있어서,
    상기 다이싱 테이프의 일부는 상기 테이프 프레임과 상기 RF 격리 지지체 사이에 배치되는, 방법.
  12. 제6항에 있어서,
    상기 RF 급전 척은 상기 냉각 RF 격리 지지체에서의 개구를 통과하는 RF 로드에 의해 급전되는, 방법.
  13. 제12항에 있어서,
    상기 RF 로드는 상기 냉각 RF 급전 척에는 접촉하지만, 상기 냉각 RF 격리 지지체에는 접촉하지 않는, 방법.
  14. 제6항에 있어서,
    상기 플라즈마 에칭 동안, 상기 기판 캐리어의 정면의 일부는 쉐도우 링에 의해 보호되는, 방법.
  15. 제6항에 있어서,
    레이저 스크라이빙 프로세스를 이용하여 상기 패터닝된 마스크를 형성하는 단계를 더 포함하는 방법.
KR1020167036172A 2014-05-23 2015-05-13 플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털 KR102435723B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020227028676A KR102476967B1 (ko) 2014-05-23 2015-05-13 플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/286,424 2014-05-23
US14/286,424 US9034771B1 (en) 2014-05-23 2014-05-23 Cooling pedestal for dicing tape thermal management during plasma dicing
PCT/US2015/030613 WO2015179192A1 (en) 2014-05-23 2015-05-13 Cooling pedestal for dicing tape thermal management during plasma dicing

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020227028676A Division KR102476967B1 (ko) 2014-05-23 2015-05-13 플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털

Publications (2)

Publication Number Publication Date
KR20170008865A true KR20170008865A (ko) 2017-01-24
KR102435723B1 KR102435723B1 (ko) 2022-08-25

Family

ID=53054603

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020167036172A KR102435723B1 (ko) 2014-05-23 2015-05-13 플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털
KR1020227028676A KR102476967B1 (ko) 2014-05-23 2015-05-13 플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020227028676A KR102476967B1 (ko) 2014-05-23 2015-05-13 플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털

Country Status (7)

Country Link
US (1) US9034771B1 (ko)
JP (2) JP6602850B2 (ko)
KR (2) KR102435723B1 (ko)
CN (1) CN106463392B (ko)
SG (1) SG11201609745RA (ko)
TW (1) TWI662609B (ko)
WO (1) WO2015179192A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8946058B2 (en) * 2011-03-14 2015-02-03 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
JP5962921B2 (ja) * 2013-05-09 2016-08-03 パナソニックIpマネジメント株式会社 プラズマ処理装置及びプラズマ処理方法
US9236284B2 (en) * 2014-01-31 2016-01-12 Applied Materials, Inc. Cooled tape frame lift and low contact shadow ring for plasma heat isolation
US11195756B2 (en) * 2014-09-19 2021-12-07 Applied Materials, Inc. Proximity contact cover ring for plasma dicing
US10692765B2 (en) * 2014-11-07 2020-06-23 Applied Materials, Inc. Transfer arm for film frame substrate handling during plasma singulation of wafers
JP6655310B2 (ja) 2015-07-09 2020-02-26 株式会社日立ハイテクノロジーズ プラズマ処理装置
GB201518756D0 (en) 2015-10-22 2015-12-09 Spts Technologies Ltd Apparatus for plasma dicing
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10438833B2 (en) * 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
JP6394641B2 (ja) * 2016-04-25 2018-09-26 トヨタ自動車株式会社 プラズマ装置
GB201611652D0 (en) * 2016-07-04 2016-08-17 Spts Technologies Ltd Method of detecting a condition
JP6818351B2 (ja) * 2017-04-14 2021-01-20 サムコ株式会社 ウエハ処理装置
US10811267B2 (en) * 2017-12-21 2020-10-20 Micron Technology, Inc. Methods of processing semiconductor device structures and related systems
US11164759B2 (en) 2018-05-10 2021-11-02 Micron Technology, Inc. Tools and systems for processing one or more semiconductor devices, and related methods
US11562890B2 (en) * 2018-12-06 2023-01-24 Applied Materials, Inc. Corrosion resistant ground shield of processing chamber
JPWO2021059900A1 (ko) * 2019-09-27 2021-04-01
US11600492B2 (en) * 2019-12-10 2023-03-07 Applied Materials, Inc. Electrostatic chuck with reduced current leakage for hybrid laser scribing and plasma etch wafer singulation process
US11791192B2 (en) * 2020-01-19 2023-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Workpiece holder, wafer chuck, wafer holding method
US20220108908A1 (en) * 2020-10-06 2022-04-07 Applied Materials, Inc. Shadow ring kit for plasma etch wafer singulation process

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008244233A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
KR20090075772A (ko) * 2006-10-06 2009-07-09 파나소닉 주식회사 반도체 칩의 제조 방법
KR20100072059A (ko) * 2007-10-12 2010-06-29 파나소닉 주식회사 플라즈마 처리 장치
KR20110057266A (ko) * 2008-09-22 2011-05-31 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
JP2012248741A (ja) * 2011-05-30 2012-12-13 Panasonic Corp プラズマ処理装置、搬送キャリア、及びプラズマ処理方法
KR20140041750A (ko) * 2011-06-15 2014-04-04 어플라이드 머티어리얼스, 인코포레이티드 레이저 및 플라즈마 에칭에 의한 레이저에 의한 기판 다이싱을 위한 다층 마스크

Family Cites Families (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4049944A (en) 1973-02-28 1977-09-20 Hughes Aircraft Company Process for fabricating small geometry semiconductive devices including integrated components
US4339528A (en) 1981-05-19 1982-07-13 Rca Corporation Etching method using a hardened PVA stencil
US4684437A (en) 1985-10-31 1987-08-04 International Business Machines Corporation Selective metal etching in metal/polymer structures
KR100215338B1 (ko) 1991-03-06 1999-08-16 가나이 쓰도무 반도체 장치의 제조방법
US5691794A (en) 1993-02-01 1997-11-25 Canon Kabushiki Kaisha Liquid crystal display device
US5593606A (en) 1994-07-18 1997-01-14 Electro Scientific Industries, Inc. Ultraviolet laser system and method for forming vias in multi-layered targets
JPH09216085A (ja) 1996-02-07 1997-08-19 Canon Inc 基板の切断方法及び切断装置
DE69725245T2 (de) 1996-08-01 2004-08-12 Surface Technoloy Systems Plc Verfahren zur Ätzung von Substraten
US6426484B1 (en) 1996-09-10 2002-07-30 Micron Technology, Inc. Circuit and method for heating an adhesive to package or rework a semiconductor die
US5920973A (en) 1997-03-09 1999-07-13 Electro Scientific Industries, Inc. Hole forming system with multiple spindles per station
JP3230572B2 (ja) 1997-05-19 2001-11-19 日亜化学工業株式会社 窒化物系化合物半導体素子の製造方法及び半導体発光素子
US6057180A (en) 1998-06-05 2000-05-02 Electro Scientific Industries, Inc. Method of severing electrically conductive links with ultraviolet laser output
JP2001044144A (ja) 1999-08-03 2001-02-16 Tokyo Seimitsu Co Ltd 半導体チップの製造プロセス
JP2001110811A (ja) 1999-10-08 2001-04-20 Oki Electric Ind Co Ltd 半導体装置の製造方法
JP4387007B2 (ja) 1999-10-26 2009-12-16 株式会社ディスコ 半導体ウェーハの分割方法
JP2001144126A (ja) 1999-11-12 2001-05-25 Matsushita Electric Ind Co Ltd 半導体装置の製造方法および半導体装置
JP2001148358A (ja) 1999-11-19 2001-05-29 Disco Abrasive Syst Ltd 半導体ウェーハ及び該半導体ウェーハの分割方法
US6300593B1 (en) 1999-12-07 2001-10-09 First Solar, Llc Apparatus and method for laser scribing a coated substrate
US6887804B2 (en) 2000-01-10 2005-05-03 Electro Scientific Industries, Inc. Passivation processing over a memory link
KR100830128B1 (ko) 2000-01-10 2008-05-20 일렉트로 싸이언티픽 인더스트리이즈 인코포레이티드 초단 펄스 폭을 가진 레이저 펄스의 버스트로 메모리링크를 처리하기 위한 레이저 시스템 및 방법
WO2001074529A2 (en) 2000-03-30 2001-10-11 Electro Scientific Industries, Inc. Laser system and method for single pass micromachining of multilayer workpieces
DE10196418B4 (de) 2000-07-12 2010-07-22 Electro Scientific Industries, Inc., Portland Lasersystem zum Bearbeiten von Verbindungen eines IC-Bauelements, Verfahren zum Bearbeiten von Verbindungen eines IC-Bauelements und Verfahren zum Ausrichten eines Laserbearbeitungsstrahls auf eine Verbindung eines IC-Bauelements
US6676878B2 (en) 2001-01-31 2004-01-13 Electro Scientific Industries, Inc. Laser segmented cutting
US6759275B1 (en) 2001-09-04 2004-07-06 Megic Corporation Method for making high-performance RF integrated circuits
US6642127B2 (en) 2001-10-19 2003-11-04 Applied Materials, Inc. Method for dicing a semiconductor wafer
JP3910843B2 (ja) 2001-12-13 2007-04-25 東京エレクトロン株式会社 半導体素子分離方法及び半導体素子分離装置
US6706998B2 (en) 2002-01-11 2004-03-16 Electro Scientific Industries, Inc. Simulated laser spot enlargement
AU2003246348A1 (en) 2002-02-25 2003-09-09 Disco Corporation Method for dividing semiconductor wafer
KR100451950B1 (ko) 2002-02-25 2004-10-08 삼성전자주식회사 이미지 센서 소자 웨이퍼 소잉 방법
JP2003257896A (ja) 2002-02-28 2003-09-12 Disco Abrasive Syst Ltd 半導体ウェーハの分割方法
ATE316691T1 (de) 2002-04-19 2006-02-15 Xsil Technology Ltd Laser-behandlung
JP2004031526A (ja) 2002-06-24 2004-01-29 Toyoda Gosei Co Ltd 3族窒化物系化合物半導体素子の製造方法
US6582983B1 (en) 2002-07-12 2003-06-24 Keteca Singapore Singapore Method and wafer for maintaining ultra clean bonding pads on a wafer
JP4286497B2 (ja) 2002-07-17 2009-07-01 新光電気工業株式会社 半導体装置の製造方法
JP3908148B2 (ja) 2002-10-28 2007-04-25 シャープ株式会社 積層型半導体装置
US20040157457A1 (en) 2003-02-12 2004-08-12 Songlin Xu Methods of using polymer films to form micro-structures
JP2004273895A (ja) 2003-03-11 2004-09-30 Disco Abrasive Syst Ltd 半導体ウエーハの分割方法
US7087452B2 (en) 2003-04-22 2006-08-08 Intel Corporation Edge arrangements for integrated circuit chips
JP2004322168A (ja) 2003-04-25 2004-11-18 Disco Abrasive Syst Ltd レーザー加工装置
JP4231349B2 (ja) 2003-07-02 2009-02-25 株式会社ディスコ レーザー加工方法およびレーザー加工装置
JP4408361B2 (ja) 2003-09-26 2010-02-03 株式会社ディスコ ウエーハの分割方法
US7128806B2 (en) 2003-10-21 2006-10-31 Applied Materials, Inc. Mask etch processing apparatus
JP4471632B2 (ja) 2003-11-18 2010-06-02 株式会社ディスコ ウエーハの加工方法
JP2005203541A (ja) 2004-01-15 2005-07-28 Disco Abrasive Syst Ltd ウエーハのレーザー加工方法
US7459377B2 (en) 2004-06-08 2008-12-02 Panasonic Corporation Method for dividing substrate
US7804043B2 (en) 2004-06-15 2010-09-28 Laserfacturing Inc. Method and apparatus for dicing of thin and ultra thin semiconductor wafer using ultrafast pulse laser
US7687740B2 (en) 2004-06-18 2010-03-30 Electro Scientific Industries, Inc. Semiconductor structure processing using multiple laterally spaced laser beam spots delivering multiple blows
US7507638B2 (en) 2004-06-30 2009-03-24 Freescale Semiconductor, Inc. Ultra-thin die and method of fabricating same
JP4018088B2 (ja) 2004-08-02 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法及び半導体素子の製造方法
US7199050B2 (en) 2004-08-24 2007-04-03 Micron Technology, Inc. Pass through via technology for use during the manufacture of a semiconductor device
JP4018096B2 (ja) 2004-10-05 2007-12-05 松下電器産業株式会社 半導体ウェハの分割方法、及び半導体素子の製造方法
US20060088984A1 (en) 2004-10-21 2006-04-27 Intel Corporation Laser ablation method
US20060086898A1 (en) 2004-10-26 2006-04-27 Matsushita Electric Industrial Co., Ltd. Method and apparatus of making highly repetitive micro-pattern using laser writer
US20060146910A1 (en) 2004-11-23 2006-07-06 Manoochehr Koochesfahani Method and apparatus for simultaneous velocity and temperature measurements in fluid flow
JP4288229B2 (ja) 2004-12-24 2009-07-01 パナソニック株式会社 半導体チップの製造方法
US7875898B2 (en) 2005-01-24 2011-01-25 Panasonic Corporation Semiconductor device
JP2006253402A (ja) 2005-03-10 2006-09-21 Nec Electronics Corp 半導体装置の製造方法
US7361990B2 (en) 2005-03-17 2008-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing cracking of high-lead or lead-free bumps by matching sizes of contact pads and bump pads
JP4478053B2 (ja) 2005-03-29 2010-06-09 株式会社ディスコ 半導体ウエーハ処理方法
JP2006300036A (ja) * 2005-04-25 2006-11-02 Toyota Motor Corp 内燃機関のノッキング判定装置
JP4285455B2 (ja) 2005-07-11 2009-06-24 パナソニック株式会社 半導体チップの製造方法
JP4599243B2 (ja) 2005-07-12 2010-12-15 株式会社ディスコ レーザー加工装置
US20070044914A1 (en) * 2005-08-30 2007-03-01 Katsuji Matano Vacuum processing apparatus
JP4769560B2 (ja) 2005-12-06 2011-09-07 株式会社ディスコ ウエーハの分割方法
JP4942471B2 (ja) * 2005-12-22 2012-05-30 京セラ株式会社 サセプタおよびこれを用いたウェハの処理方法
JP4935143B2 (ja) * 2006-03-29 2012-05-23 東京エレクトロン株式会社 載置台及び真空処理装置
JP4372115B2 (ja) 2006-05-12 2009-11-25 パナソニック株式会社 半導体装置の製造方法、および半導体モジュールの製造方法
JP4480728B2 (ja) 2006-06-09 2010-06-16 パナソニック株式会社 Memsマイクの製造方法
JP4906425B2 (ja) * 2006-07-26 2012-03-28 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP4840174B2 (ja) 2007-02-08 2011-12-21 パナソニック株式会社 半導体チップの製造方法
JP4840200B2 (ja) 2007-03-09 2011-12-21 パナソニック株式会社 半導体チップの製造方法
US7926410B2 (en) 2007-05-01 2011-04-19 J.R. Automation Technologies, L.L.C. Hydraulic circuit for synchronized horizontal extension of cylinders
JP5205012B2 (ja) 2007-08-29 2013-06-05 株式会社半導体エネルギー研究所 表示装置及び当該表示装置を具備する電子機器
US7859084B2 (en) 2008-02-28 2010-12-28 Panasonic Corporation Semiconductor substrate
JP2009260272A (ja) * 2008-03-25 2009-11-05 Panasonic Corp 基板の加工方法および半導体チップの製造方法ならびに樹脂接着層付き半導体チップの製造方法
WO2009126907A2 (en) 2008-04-10 2009-10-15 Applied Materials, Inc. Laser-scribing platform and hybrid writing strategy
JP5325457B2 (ja) * 2008-05-22 2013-10-23 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20100013036A1 (en) 2008-07-16 2010-01-21 Carey James E Thin Sacrificial Masking Films for Protecting Semiconductors From Pulsed Laser Process
US8609512B2 (en) 2009-03-27 2013-12-17 Electro Scientific Industries, Inc. Method for laser singulation of chip scale packages on glass substrates
US8642448B2 (en) 2010-06-22 2014-02-04 Applied Materials, Inc. Wafer dicing using femtosecond-based laser and plasma etch
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US20140057414A1 (en) * 2012-08-27 2014-02-27 Aparna Iyer Mask residue removal for substrate dicing by laser and plasma etch

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20090075772A (ko) * 2006-10-06 2009-07-09 파나소닉 주식회사 반도체 칩의 제조 방법
JP2008244233A (ja) * 2007-03-28 2008-10-09 Tokyo Electron Ltd プラズマ処理装置
KR20100072059A (ko) * 2007-10-12 2010-06-29 파나소닉 주식회사 플라즈마 처리 장치
KR20110057266A (ko) * 2008-09-22 2011-05-31 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 피쳐들을 식각하기에 적합한 식각 반응기
JP2012248741A (ja) * 2011-05-30 2012-12-13 Panasonic Corp プラズマ処理装置、搬送キャリア、及びプラズマ処理方法
KR20140041750A (ko) * 2011-06-15 2014-04-04 어플라이드 머티어리얼스, 인코포레이티드 레이저 및 플라즈마 에칭에 의한 레이저에 의한 기판 다이싱을 위한 다층 마스크

Also Published As

Publication number Publication date
KR102435723B1 (ko) 2022-08-25
US9034771B1 (en) 2015-05-19
TW201601208A (zh) 2016-01-01
JP6602850B2 (ja) 2019-11-06
CN106463392B (zh) 2019-07-23
JP2020038971A (ja) 2020-03-12
KR102476967B1 (ko) 2022-12-15
JP6903110B2 (ja) 2021-07-14
WO2015179192A1 (en) 2015-11-26
KR20220123135A (ko) 2022-09-05
JP2017523616A (ja) 2017-08-17
TWI662609B (zh) 2019-06-11
CN106463392A (zh) 2017-02-22
SG11201609745RA (en) 2016-12-29

Similar Documents

Publication Publication Date Title
KR102476967B1 (ko) 플라즈마 다이싱 동안 다이싱 테이프 열 관리를 위한 냉각 페디스털
US9236284B2 (en) Cooled tape frame lift and low contact shadow ring for plasma heat isolation
KR102469595B1 (ko) 플라즈마 다이싱 동안 웨이퍼 프레임 지지 링 냉각에 의한 다이싱 테이프 열 관리
US9117868B1 (en) Bipolar electrostatic chuck for dicing tape thermal management during plasma dicing
US9293304B2 (en) Plasma thermal shield for heat dissipation in plasma chamber
US20200258780A1 (en) Transfer arm for film frame substrate handling during plasma singulation of wafers
US8912075B1 (en) Wafer edge warp supression for thin wafer supported by tape frame
KR20150109459A (ko) 필름 프레임 웨이퍼 어플리케이션들을 위한 에칭 챔버 쉴드 링을 사용한 레이저 및 플라즈마 에칭 웨이퍼 다이싱
US9159621B1 (en) Dicing tape protection for wafer dicing using laser scribe process
TWI691005B (zh) 電漿腔室中用於散熱的主動冷卻式遮蔽環
US9196498B1 (en) Stationary actively-cooled shadow ring for heat dissipation in plasma chamber
US9478455B1 (en) Thermal pyrolytic graphite shadow ring assembly for heat dissipation in plasma chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right