KR20150125538A - Hemt 디바이스를 위한 측벽 패시베이션 - Google Patents

Hemt 디바이스를 위한 측벽 패시베이션 Download PDF

Info

Publication number
KR20150125538A
KR20150125538A KR1020140182460A KR20140182460A KR20150125538A KR 20150125538 A KR20150125538 A KR 20150125538A KR 1020140182460 A KR1020140182460 A KR 1020140182460A KR 20140182460 A KR20140182460 A KR 20140182460A KR 20150125538 A KR20150125538 A KR 20150125538A
Authority
KR
South Korea
Prior art keywords
iii
layer
nitride
passivation layer
gate
Prior art date
Application number
KR1020140182460A
Other languages
English (en)
Other versions
KR101697825B1 (ko
Inventor
한친 치우
치밍 첸
쳉유안 차이
푸웨이 야오
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150125538A publication Critical patent/KR20150125538A/ko
Application granted granted Critical
Publication of KR101697825B1 publication Critical patent/KR101697825B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66446Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET]
    • H01L29/66462Unipolar field-effect transistors with an active layer made of a group 13/15 material, e.g. group 13/15 velocity modulation transistor [VMT], group 13/15 negative resistance FET [NERFET] with a heterojunction interface channel or gate, e.g. HFET, HIGFET, SISFET, HJFET, HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7789Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface the two-dimensional charge carrier gas being at least partially not parallel to a main surface of the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02455Group 13/15 materials
    • H01L21/02458Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/29Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the material, e.g. carbon
    • H01L23/291Oxides or nitrides or carbides, e.g. ceramics, glass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3157Partial encapsulation or coating
    • H01L23/3171Partial encapsulation or coating the coating being directly applied to the semiconductor body, e.g. passivation layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/2003Nitride compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • H01L29/201Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys
    • H01L29/205Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds including two or more compounds, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7781Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with inverted single heterostructure, i.e. with active layer formed on top of wide bandgap layer, e.g. IHEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7782Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with confinement of carriers by at least two heterojunctions, e.g. DHHEMT, quantum well HEMT, DHMODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/778Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface
    • H01L29/7786Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT
    • H01L29/7787Field effect transistors with two-dimensional charge carrier gas channel, e.g. HEMT ; with two-dimensional charge-carrier layer formed at a heterojunction interface with direct single heterostructure, i.e. with wide bandgap layer formed on top of active layer, e.g. direct single heterostructure MIS-like HEMT with wide bandgap charge-carrier supplying layer, e.g. direct single heterostructure MODFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

본 개시의 일부 실시예는, 반도체 기판 위에 배열된 헤테로 접합 구조물을 포함하는 고전자 이동도 트랜지스터(HEMT; High Electron Mobility Transistor)에 관한 것이다. 헤테로 접합 구조는 제1 Ⅲ-질화물 물질로 이루어져 e-HEMT의 채널 영역으로서 동작하는 바이너리(binary) Ⅲ/Ⅴ 반도체 층과, 바이너리 Ⅲ/Ⅴ 반도체 층 위에 배열되고 제2 ⅢII-질화물 물질로 이루어져 장벽 층으로서 동작하는 터너리(ternary) Ⅲ/Ⅴ 반도체 층을 포함한다. 소스 및 드레인 영역이 터너리 Ⅲ/Ⅴ 반도체 층 위에 배열되며 서로로부터 측면 방향으로 이격되어 있다. 게이트 구조물은 헤테로 접합 구조 위에 배열되며 소스 영역과 드레인 영역 사이에 배열된다. 게이트 구조물은 제3 Ⅲ-질화물 물질로 이루어진다. 제1 패시베이션 층이 게이트 구조물의 측벽 주위에 배열되며 제4 Ⅲ-질화물 물질로 이루어진다.

Description

HEMT 디바이스를 위한 측벽 패시베이션{SIDEWALL PASSIVATION FOR HEMT DEVICES}
관련 출원에 관한 참조
본 출원은, "HEMT 디바이스를 위한 측벽 패시베이션"이라는 명칭으로 2014년 4월 30일에 출원한 US 가출원 제 61/986,389호를 우선권으로 청구한다. 이 가출원의 내용은 그 전체가 참조로 본 출원에 통합되어 있다.
본 발명은 HEMT 디바이스를 위한 측벽 패시베이션에 관한 것이다.
이종 구조 FETs(HFETs: Heterostructure FETs) 또는 변조-도핑 FETs(MODFETs: Modulation-Doped FETs)로도 알려져 있는 고전자 이동도 트랜지스터(HEMT: High-Electron-Mobility Transistor)들은 전계 효과 트랜지스터의 한 타입이다. 종래의 n-형 MOSFET는, n-형 소스/드레인 영역을 분리하는 p-형으로 도핑된 채널 영역 위에 배열된 게이트 전극을 포함하는 반면, 예컨대 HEMT 디바이스는 도핑된 영역 대신 채널로서 헤테로 접합을 사용한다. 이러한 헤테로 접합은, 상이한 밴드갭을 가진 두 개의 물질이 서로 만나는 경계면에 의해 규정된다. Ⅲ-N(3 질화물) 디바이스가 HEMT의 한 타입이며, 여기서 헤테로 접합은 Ⅲ 족 물질(예컨대, Al, Ga, In)과 질화물(N) 물질로 이루어진다. 이들 Ⅲ-N 디바이스는 고전력 및 고주파수 응용에서 매우 유망한 성능을 보인다. Ⅲ-N 디바이스는 예컨대 휴대폰 기지국, 직접 방송 위성(DBS) 수신기, 전자 전쟁 시스템 등을 위한 방사체와 같은 고전력-고주파수 응용에 사용할 수 있다.
본 발명은, 패시베이션 층을 게이트 전극의 측벽 위에 형성하여 경계면 트랩을 제한하게 하는 기술을 제공하는 것을 목적으로 한다.
그러므로, 본 개시의 일부 실시예는, 반도체 기판 위에 배열된 헤테로 접합 구조를 포함하는 고전자 이동도 트랜지스터(HEMT)에 관한 것이다. 헤테로 접합 구조는 e-HEMT의 채널 영역으로서 동작하기 위하여 제1 Ⅲ-질화물 물질로 이루어지는 바이너리 Ⅲ/Ⅴ 반도체 층과, 장벽 층으로서 동작하기 위하여 바이너리 Ⅲ/Ⅴ 반도체 층 위에 배열되며 제2 Ⅲ-질화물 물질로 이루어지는 터너리(ternary) Ⅲ/Ⅴ 반도체 층을 포함한다. 소스 및 드레인 영역은 터너리 Ⅲ/Ⅴ 반도체 층 위에 배열되며 서로로부터 측면 방향으로 이격되어 있다. 게이트 구조물은 헤테로 접합 구조 위에 배열되며 소스 영역과 드레인 영역 사이에 배열된다. 게이트 구조물은 제3 Ⅲ-질화물 물질로 이루어진다. 제1 컨포멀(conformal) 패시베이션 층은 게이트 구조물의 측벽 주위에 배치되며 제4 Ⅲ-질화물 물질로 이루어진다.
본 개시의 다른 실시예는 기판 상에 인핸스먼트 모드의 고전자 이동도 트랜지스터(e-HEMT)를 형성하는 방법에 관한 것이다. 이 방법에서, 바이너리 Ⅲ-질화물 채널 층이 기판 위에 형성된다. 터너리 Ⅲ-질화물 장벽 층이 바이너리 Ⅲ-질화물 채널 층 위에 형성된다. 터너리 Ⅲ-질화물 장벽 층이 헤테로 접합 경계면에서 바이너리 Ⅲ-질화물 채널 층과 만난다. 바이너리 Ⅲ-질화물 게이트 층이 터너리 Ⅲ-질화물 장벽 층 위에 형성되며 도너 또는 억셉터 불순물로 도핑된다. 도핑된 바이너리 Ⅲ-질화물 게이트 층의 선택된 부분들을 제거하여, 상부 게이트 표면과 외부 게이트 측벽들을 갖는, 패터닝되고 도핑된 바이너리 Ⅲ-질화물 게이트 구조물을 형성한다. 게이트 층의 선택된 부분을 제거하면, 터너리 Ⅲ-질화물 장벽 층의 상부 표면 영역을 노출된 상태로 남겨둔다. 제1 컨포멀 패시베이션 층이 상부 게이트 표면, 외부 게이트 측벽 및 터너리 Ⅲ-질화물 장벽 층의 노출된 상부 표면 영역 위에 형성된다.
또 다른 실시예는 기판 상에 형성된 고전자 이동도 트랜지스터(HEMT)에 관한 것이다. HEMT는 기판 위에 AlN 버퍼 층을 포함한다. AlGaN 버퍼 층은 AlN 버퍼 층 위에 배열된다. GaN 채널 층은 AlGaN 버퍼 층 위에 배열된다. AlGaN 장벽 층이 GaN 채널 층 위에 배열된다. GaN 게이트 구조물은 AlGaN 장벽 층 위에 배열된다. GaN 게이트 구조물은 억셉터나 도너 불순물로 도핑되며 게이트 구조물 상부 표면과 게이트 구조물 외부 측벽을 갖는다. AlN 또는 BN 컨포멀 패시베이션 층은 게이트 구조물 상부 표면 위에 배열되며 게이트 구조물 외부 측벽과 인접한다.
전술한 바와 같이, 본 발명에 의하면, 패시베이션 층이 게이트 측벽 표면들 중 표면 상에서 종료하며, 이 표면 상에 댕글링 본드를 씌워서 경계면 트랩의 개수를 제한하여 디바이스 성능을 개선하는 것을 돕는다. 특히, 패시베이션 층은 게이트 누설 전류를 감소시킨다.
본 개시의 양태는, 첨부되는 도면들과 함께 다음의 상세한 설명을 읽으면 가장 잘 이해하게 된다. 업계에서의 표준 실무에 따라, 여러 가지 특성은 실제 축적대로 도시되지 않음을 주목해야 한다. 사실, 이러한 여러 가지 특성의 치수는 명확한 논의를 위하여 임의로 크게 또는 작게될 수 있다.
도 1은 본 개시의 일부 실시예에 따른 HEMT 디바이스의 횡단면도의 일부 실시예를 도시한다.
도 2는 본 개시의 일부 실시예에 따라 e-HEMT 디바이스를 제조하는 방법의 흐름도를 도시한다.
도 3 내지 도 11은 본 개시의 일부 실시예에 따라 HEMT 디바이스를 제조하는 방법을 집합적으로 묘사한 일련의 횡단면도를 도시한다.
다음의 개시는, 본 개시의 상이한 특성을 구현하기 위한 많은 상이한 실시예 또는 예를 제공한다. 구성요소 및 배열의 특정 예를 본 개시를 간략화하기 위해 이하에서 기재한다. 이들 예는 물론 단지 예이며 제한적인 것으로 의도되지는 않는다. 예컨대, 다음의 상세한 설명에서 제2 특성 위에 또는 상에 제1 특성의 형성은, 제1 및 제2 특성이 직접 접촉하여 형성되는 실시예를 포함할 수 있으며, 또한 추가 특성이 제1 특성과 제2 특성 사이에 형성될 수 있어서, 제1 및 제2 특성이 직접 접촉하지 않을 수 있는 실시예를 포함할 수 있다. 게다가, 본 개시는 여러 예에서 참조 번호 및/또는 문자를 반복할 수도 있다. 이러한 반복은 간략화 및 명료화를 목적으로 하며, 그 자체가 논의되는 여러 실시예 및/또는 구성 사이의 관계를 나타내지는 않는다.
또한, "밑", "아래", "하부", "위", "상부" 등과 같은 공간적으로 상대적인 표현은 본 명세서에서는 한 요소나 특성의 다른 요소(들)나 특성(들)에 대한 관계를 도면에 예시된 대로 설명하는데 있어서 설명의 용이를 위해 사용될 수 있다. 이러한 공간적으로 상대적인 표현은 도면에서 묘사한 배향 외에 사용 중이거나 동작 중인 디바이스의 상이한 배향을 포함하고자 한다. 장치는 그 밖에도 배향될 수 있으며(90도 또는 다른 배향으로 회전될 수 있으며) 본 명세서에서 사용된 공간적으로 상대적인 설명어는 또한 적절히 해석될 수 있다.
HEMT 디바이스는 상이한 밴드갭을 가진 두 개의 물질 사이의 헤테로 접합을 채널로서 사용한다. 예컨대, 일부 Ⅲ-N HEMT 디바이스에서, 넓은 밴드갭 AlGaN 층이 좁은 밴드갭 GaN 층과 헤테로 접합을 형성할 수 있다. 이들 두 물질의 격자 상수는 통상 약간 상이하다. 이들 타입의 물질의 격자 구조의 차이는, 압전-유도 분극을 초래할 수 있으며 헤테로 접합 경계면에서 대역 휨(band bending)을 형성할 수 있는 응력(strain)을 발생시킨다. 예컨대, GaN HEMT는 통상 강한 표면 분극을 가지며, 이러한 분극으로 인해, HEMT는 정상-온(공핍-모드) 상태로 동작하게 된다. 인핸스먼트 모드 디바이스에서 표면 분극을 극복하여, 전하 캐리어의 흐름을 제어하기 위해, 큰 일 함수의 p-GaN 물질을 갖는 게이트가 AlGaN 층 상부에 직접 형성될 수 있다.
그러나 쇼트키 장벽으로서 AlGaN/GaN과 제어 게이트로서 p-GaN 게이트를 갖는 GaN HEMT는 결국 큰 게이트 누설을 초래한다. 게다가, 인핸스먼트 모드 디바이스 구조를 형성하기 위해, 많은 Ⅲ-N 표면이 처리로 인해 유도된 트랩(trap) 또는 손상을 겪었다. 이들 트랩 또는 손상은 주로, 디바이스 성능을 저하시키는 게이트 누설이나 디바이스 누설 전류에 기여한다. 트랩의 수를 제한하고자(그리하여 디바이스 성능을 개선하고자), 본 개시는, 패시베이션 층이 예컨대 p-GaN의 측벽과 같은 Ⅲ-N 표면 상에 형성되는 기술을 제시한다. 이러한 패시베이션 층은 게이트 측벽 표면들 중 표면 상에서 종료되며, 그러한 표면 상에 댕글링 본드(dangling bond)를 씌워서, 경계면 트랩의 개수를 제한하여, 디바이스 성능의 개선을 돕는다. 따라서, 게이트 누설은 이 패시베이션 층을 삽입하여 감소할 수 있다.
도 1은 본 개시에 따른 HEMT 디바이스(100)의 횡단면도의 일부 실시예를 도시한다. HEMT 디바이스(100)는 반도체 구조(104) 위에 배열된 헤테로 접합 구조(102)를 포함한다. 헤테로 접합 구조(102)는 바이너리(binary) Ⅲ/Ⅴ 반도체 층(106)과, 이 바이너리 Ⅲ/Ⅴ 반도체 층(106) 위에 배열된 터너리 Ⅲ/Ⅴ 반도체 층(108)으로 이뤄진다. 바이너리 Ⅲ/Ⅴ 반도체 층(106)은 제1 Ⅲ-질화물 물질로 이루어 지며 e-HEMT의 채널 영역으로 동작한다. 터너리 Ⅲ/Ⅴ 반도체 층(108)은 제2 Ⅲ-질화물 층으로 이루어지며, 종래의 MOSFET 경우의 게이트 유전체와 다소 유사한 장벽 층으로서 동작한다. 일부 실시예에서, 바이너리 Ⅲ/Ⅴ 반도체 층(106)은 질화 갈륨(GaN)으로 이루어지며, 터너리 Ⅲ/Ⅴ 반도체 층(108)은 질화 알루미늄 갈륨(AlxGa1 - xN, 여기서 0<x<1임)으로 이루어진다.
하나 이상의 버퍼 층(110)이 이종 구조(102)와 기판(104) 사이에 배열될 수 있다. 이들 버퍼 층(110)은 이들 층의 두께 위에 응력을 점진적으로 분포시키는 것을 도우며, 응력은 기판(104)과 바이너리 Ⅲ/Ⅴ 층(106) 사이의 격자 불일치로 인한 것이다. 응력을 분포시킴으로써, 이들 버퍼 층(110)은 어떤 면에서는 트랩 형성을 막는 것을 도울 수 있다. 예시한 버퍼 층(110)은 바이너리 Ⅲ/Ⅴ 층(106)에 인접해 있는 최상부 버퍼 층(112)뿐만 아니라 상부 버퍼 층(112)과 기판(104) 사이의 하부 버퍼 층(114)을 포함한다. 일부 실시예에서, 최상부 버퍼 층(112)은 AlGaN으로 이루어질 수 있으며, 하부 버퍼 층(114)은 AlN으로 이루어질 수 있다. 다른 실시예에서, 두 개보다 많은 버퍼 층을 이종 구조(102)와 기판(104) 사이에 포함할 수 있다.
도전성 소스 및 드레인 영역(116 및 118)을 터너리 Ⅲ/Ⅴ 반도체 층(108) 위에 배열하며, 서로로부터 측면 방향으로 이격한다. 도전성 소스 및 드레인 영역(116 및 118)은, 터너리 Ⅲ/Ⅴ 반도체 층(108)과 인접해 있으며 터너리 Ⅲ/Ⅴ 반도체 층(108)에 저항 결합되는 각각의 하부 영역을 갖는다. 일부 실시예에서, 소스/드레인 영역(116 및 118)은 터너리 Ⅲ/Ⅴ 반도체 층(108) 상에서 바로 놓이며 그 반도체 층(108)에 인접하고, 바이너리 Ⅲ/Ⅴ 반도체 층(106)과 이격되어 있다. 그러나 다른 실시예에서, 소스/드레인 영역(116 및 118)은 터너리 Ⅲ/Ⅴ 반도체 층(108)을 관통하여 연장되어 바이너리 Ⅲ/Ⅴ 반도체 층(106)과 인접해 있다.
게이트 구조물(120)이 헤테로 접합 구조(102) 위에 배열되며 도전성 소스와 드레인 영역(116 및 118) 사이에서 측면 방향으로 배열된다. 게이트 구조물(120)은 제3 Ⅲ-질화물 물질로 이루어진다. 예컨대, 일부 실시예에서, 게이트 구조물(120)은 GaN으로 이루어질 수 있으며, 도너 불순물로 도핑되어 n-형 게이트 구조물을 형성하거나 억셉터 불순물로 도핑되어 p-형 게이트 구조물을 형성하였다. 이들 불순물은 최종 HEMT 디바이스(100)가 공핍 모드와 반대로 인핸스먼트 모드에서 동작하게 되도록 돕는다.
제1 컨포멀 패시베이션 층(122)이 게이트 구조물 측벽(120A 및 120B) 주위와 상부 게이트 구조물 표면(120C) 위에 배치된다. 제1 컨포멀 패시베이션 층(122)은 또한 터너리 Ⅲ/Ⅴ 장벽 반도체 층(108)의 상부 표면(108A) 위에 배치된다. 일부 실시예에서 질화 알루미늄(AlN)이나 질화 붕소(BN)로 이루어질 수 있는 이러한 제1 컨포멀 패시베이션 층(122)은 고품질의 박막이 될 수 있어서, 게이트 구조물(120)로부터의 전류 누설을 막을 수 있다. 따라서, 일부 실시예에서, 제1 컨포멀 패시베이션 층(122)은 원자 층 퇴적(ALD: Atomic Layer Deposition) 기술에 의해 성장하며, 이러한 기술은, 비록 시간 집약적이지만, 매우 고품질의 막을 발생시킨다. 제1 컨포멀 패시베이션 층(122)은 일부 실시예에서 대략 5Å과 대략 500Å 사이의 두께를 가질 수 있다. 고품질 막을 제공하는 것 외에, ALD 기술은, 예컨대 200℃와 500℃ 사이와 같은 상대적으로 낮은 온도에서 실행될 수 있기 때문에 유리하며(이는 열처리 예산 문제를 제한하는 것을 돕는다), 그리고 ALD 기술은 PVD에 비하여 우수한 스텝 커버리지(step coverage)를 제공하기 때문에 유리하다.
제2 컨포멀 패시베이션 층(124)이 제1 컨포멀 패시베이션 층(122) 위에 배열된다. 이 제2 컨포멀 패시베이션 층(124)은 처리 동안 제1 컨포멀 패시베이션 층(122)을 보호하는 것을 도울 수 있다. 일부 실시예에서, 이 제2 컨포멀 패시베이션 층(124)은 질화물(예컨대 SiN)이나 산화물(예컨대 SiO2)로 이루어질 수 있다. 제2 컨포멀 패시베이션 층(124)은, 제1 컨포멀 패시베이션 층(122)의 두께보다 두꺼운 두께를 가질 수 있으며 제1 컨포멀 패시베이션 층(122)을 형성하는데 사용된 기술과는 상이한 기술로 형성될 수 있다. 예컨대, 일부 실시예에서, 제2 컨포멀 패시베이션 층(124)은 대략 50nm 내지 대략 500nm의 두께를 가질 수 있다. 또한, 일부 실시예에서, 제2 컨포멀 패시베이션 층(124)은 예컨대 화학적 기상 증착(CVD), 플라스마-강화 화학적 기상 증착(PECVD) 또는 플라스마 기상 증착(PVD)에 의해 형성될 수 있다.
도전성 소스/드레인 영역(116 및 118)은 제1 및 제2 패시베이션 층(122 및 124)을 관통해 하방으로 연장되어 터너리 Ⅲ/Ⅴ 반도체 층(108)에 저항 연결된다. 도전성 소스/드레인 영역(116 및 118)은 예컨대 구리, 알루미늄, 텅스텐, 니켈, 철, 코발트, 은, 금 또는 백금과 같은 금속일 수 있다.
컨포멀 유전체 캐핑(capping) 층(126)이 도전성 소스/드레인 영역(116 및 118) 위에 놓인다. 일부 실시예에서, 이러한 컨포멀 유전체 캐핑 층(126)은 예컨대 질화물(예컨대, SiN) 또는 산화물(예컨대, SiO2)이다. 일부 실시예에서, 컨포멀 유전체 캐핑 층(126)은 제2 컨포멀 패시베이션 층(124)의 두께 이상의 두께를 갖는다.
금속 전극 라이너 또는 금속 전극 콘택트(128)가 유전체 캐핑 층(126)을 관통해 그리고 제1 및 제2 패시베이션 층(122 및 124)을 관통해 하방으로 연장되어, 게이트 구조물(120)에 대한 저항 연결을 형성한다. 일부 실시예에서, 금속 전극 라이너 또는 금속 전극 콘택트(128)는 PVD 도는 CVD에 의해 형성된다. 금속 전극 라이너 또는 금속 전극 콘택트(128)는, 게이트 전극(120)의 상부 표면 영역과 저항 접촉하기 전, 캐핑 층(126)과 제1 및 제2 패시베이션 층(122 및 124)의 측벽을 따라 하방으로 연장된다.
바이너리 Ⅲ/Ⅴ 층(106)과 터너리 Ⅲ/Ⅴ 층(108) 사이의 밴드갭에서의 차이로 인해, 2차원 전자 가스(2DEG) 형태의 고 이동성 전하 캐리어를 층(106과 108) 사이의 경계면에 생성한다. 그러므로, 동작 동안, 게이트 전극(120)에 인가된 전압은, 층(106)의 채널 영역을 통해 소스(116)로부터 드레인(118)으로 또는 그 반대로 흐를 수 있는 캐리어의 개수(예컨대, 2DEG)를 제어한다. 따라서, HEMT(100)가 도통 또는 저항 상태에 있는지는 게이트 전극(120)의 도움으로 2DEG를 제어함으로써 제어할 수 있다. 많은 경우, HEMT 디바이스(100)는 인핸스먼트 모드 디바이스이며, 이러한 디바이스는 정상적으로는 비-도통 상태(정상적으로 오프)에 있음으로써 실리콘 MOSFET 디바이스와 유사하게 동작한다. 106/108 사이의 헤테로 접합 경계면의 성질과, HEMT의 이러한 헤테로 접합 경계면에서의 2DEG의 형성으로 인해, Ⅲ-N 물질계로 형성된 그러한 디바이스는 정상적으로 온이 되는 경향이 있으며, 다시 말해 공핍 모드 디바이스가 되는 경향이 있으며, 즉 AlGaN/GaN 층의 경계면에서의 2DEG의 고전자 이동도로 인해 HEMT 디바이스와 같은 Ⅲ-N 디바이스는 게이트 전위의 인가 없이도 도통될 수 있다.
오프-상태에서, 종래의 인핸스먼트 모드 HEMT(e-HEMT) 디바이스는 그 게이트 구조물로부터의 전류 누설을 보일 수 있다. 패시베이션 층(122)은 이러한 전류 누설을 일부 경우에는 대략 크기 차수만큼 제한하는 것을 도울 수 있다.
도 2는, 본 개시의 일부 실시예에 따라 HEMT 디바이스를 제조하는 방법의 일부 실시예의 흐름도를 예시한다. 방법을 일련의 동작이나 이벤트로서 이후에 예시하여 기재하지만, 그러한 동작이나 이벤트의 예시한 순서는 제한적인 의미로 해석되지 않을 것임을 이해해야 할 것이다. 예컨대, 일부 동작은 본 명세서에서 예시하고 및/또는 기재한 것들과는 다른 동작이나 이벤트와 동시에 및/또는 상이한 순서로 발생할 수 있다. 게다가, 모든 예시한 동작이 본 명세서에서의 상세한 설명의 하나 이상의 양상이나 실시예를 구현하는데 필요한 것은 아닐 수 있다. 또한, 본 명세서에서 기재한 동작 중 하나 이상은 하나 이상의 별개의 동작 및/또는 단계로 실행될 수 있다.
202에서, 제1 Ⅲ-질화물 물질로 이루어진 제1 버퍼 층을 기판 위에 형성한다. 204에서, 제2 버퍼 층을 제1 버퍼 층 위에 형성하며, 제2 버퍼 층은, 제1 II-질화물 물질과 상이한 제2 Ⅲ-질화물 물질로 이루어진다. 206에서, 바이너리 Ⅲ-질화물 채널 층을 제2 버퍼 층 위에 형성한다. 208에서, 터너리 Ⅲ-질화물 장벽 층을 바이너리 Ⅲ-질화물 채널 층 위에 형성한다. 터너리 Ⅲ-질화물 장벽 층은 헤테로 접합 경계면에서 바이너리 Ⅲ-질화물 채널 층과 만난다. 210에, 바이너리 Ⅲ-질화물 게이트 층을 터너리 Ⅲ-질화물 장벽 층 위에 형성하며, 도너 또는 억셉터 불순물로 도핑한다. 212에서, 도핑된 바이너리 Ⅲ-질화물 게이트 층의 선택된 부분을 제거하여, 상부 게이트 표면과 외부 게이트 측벽을 갖고 패터닝되고 도핑된 바이너리 Ⅲ-질화물 게이트 구조물을 형성한다. 이들 선택된 부분의 제거로 인해 터너리 Ⅲ-질화물 장벽 층의 상부 표면 영역은 노출되어 남겨진다. 214에서, 제1 컨포멀 패시베이션 층을 상부 게이트 표면, 외부 게이트 측벽 및 터너리 Ⅲ-질화물 장벽 층의 노출된 상부 표면 영역 위에 형성한다. 이 제1 컨포멀 패시베이션 층은 게이트 측벽 트랩을 감소시키는 것을 도울 수 있으며, 그러므로 디바이스의 동작 동안 게이트 구조물로부터의 전류 누설을 제한하는 것을 돕는다.
이제 도 3 내지 도 11을 참조하면, 일부 실시예에 따라 HEMT 디바이스의 형성을 집합적으로 묘사하는 일련의 횡단면도를 볼 수 있다. 비록 특정한 구조적 특성을 이들 횡단면도로 개시할지라도, 이들 특정한 구조적 특성은 모든 구현에서 반드시 필요한 것은 아님을 이해해야 할 것이다.
도 3은, 도 2의 참조번호(202 내지 210)에 의해 형성된 구조의 일부 실시예와 일치한다. 도 3의 구조는, 다양한 상이한 형태를 가질 수 있는 기판(302)을 포함한다. 일부 실시예에서, 기판(302)은 실리콘 기판, 탄화 실리콘(SiC) 기판, 또는 사파이어 기판이다. 일부 경우에 하부 버퍼 층으로서 지칭될 수 있는 제1 버퍼 층(304)을 그 후 예컨대 에피택셜 성장 기술에 의해 기판(302) 위에 형성한다. 일부 경우, 제1 버퍼 층(304)은 질화 알루미늄(AlN) 층이다. 일부 경우에 최상부 버퍼 층으로서 지칭될 수 있는 제2 버퍼 층(306)을 그 후 예컨대 에피택셜 성장 기술에 의해 제1 버퍼 층(304) 위에 형성한다. 일부 경우에, 제2 버퍼 층(306)은 AlGaN 층이다. 바이너리 Ⅲ-질화물 채널 층(308)을 그 후 예컨대 에피택셜 성장 기술에 의해 제2 버퍼 층(306) 위에 형성한다. 일부 경우에, 바이너리 Ⅲ-질화물 채널 층(308)은 GaN 층이다. 터너리 Ⅲ-질화물 장벽 층(310)을 예컨대 에피택셜 성장 기술에 의해 바이너리 Ⅲ-질화물 채널 층(308) 위에 형성한다. 일부 실시예에서, 터너리 Ⅲ-질화물 장벽 층(310)은 AlxGa1 - xN 층이며, 여기서 0<x<1이다. 바이너리 Ⅲ-질화물 게이트 층(312)을 예컨대 에피택셜 성장 기술에 의해 터너리 Ⅲ-질화물 장벽 층(310) 위에 형성하며, 도너 또는 억셉터 불순물로 도핑한다. 일부 실시예에서, 바이너리 Ⅲ-질화물 게이트 층(312)은 n-형 또는 p-형 GaN 층이다.
도 4에서, 게이트 구조물 마스크 층을 바이너리 Ⅲ-질화물 게이트 층 위에 형성하여 패터닝한다. 게이트 구조물 마스크 층은 포토레지스트 층, 질화물 층과 같은 하드마스크 층 및/또는 다른 개별 층 또는 이들 층의 조합일 수 있다. 패터닝된 게이트 구조물 마스크(402)를 제자리에 놓은 상태에서, 에칭을 실행하여 바이너리 Ⅲ-질화물 게이트 층(312)의 노출된 부분을 선택적으로 제거하여, 게이트 구조물 마스크(402)를 그 위에 갖는 게이트 구조물(312')를 남겨둔다. 패터닝된 게이트 구조물 마스크(402)를 그 후 제거한다.
도 5에서, 제1 컨포멀 패시베이션 층(502)을 원자 층 증착(ALD)에 의해 형성한다. 일부 실시예에서, 제1 컨포멀 패시베이션 층(502)은 AlN 또는 BN이며, 대략 5Å과 500Å 사이의 두께로 퇴적된다. 게이트 측벽 트랩을 제한하기 위해, 제1 컨포멀 패시베이션 층(502)은 게이트 전극(312')의 측벽 및 상부 표면과 바로 인접한다.
도 6에서, 제2 컨포멀 패시베이션 층(502)을 제1 컨포멀 패시베이션 층(502) 위에 형성하여 처리 동안 제1 컨포멀 패시베이션 층(502)을 보호한다. 일부 실시예에서, 제2 컨포멀 패시베이션 층은 예컨대 SiN과 같은 질화물이거나 예컨대 SiO2와 같은 산화물이다. 일부 실시예에서, 제2 컨포멀 패시베이션 층(602)은 제1 컨포멀 패시베이션 층(502)과는 상이한 기술에 의해 형성된다. 예컨대, 제2 컨포멀 패시베이션 층(602)은 CVD, PECVD 또는 PVD에 의해 형성될 수 있으며, 이들 방법은, 스루풋을 우수한 수준으로 유지하기 위해 ALD의 퇴적 속도보다 빠른 퇴적 속도를 갖는다. 제1 컨포멀 패시베이션 층(502)을 보호하는 것을 돕기 위해, 제2 패시베이션 층(602)의 두께는 대략 50nm와 대략 500nm 사이의 범위를 가질 수 있다.
도 7에서, 소스/드레인 마스크(700)가 제2 컨포멀 패시베이션 층 위에 형성되었다. 소스/드레인 마스크(700)를 제자리에 놓은 상태로, 예컨대 건식 에칭과 같은 에칭을 실행하여, 제1 및 제2 컨포멀 패시베이션 층(502 및 602)을 관통하여 연장하며 터너리 Ⅲ-질화물 장벽 층(310) 상에 종료하는 소스/드레인 개구(702)를 형성한다. 터너리 Ⅲ-질화물 장벽 층(310)의 일부 부분은 이 에칭 동안 제거/소비될 수 있지만, 다른 부분은 바이너리 Ⅲ-질화물 채널 층(310) 위의 소스/드레인 개구(702) 아래에서 남아 있을 수 있다. 도 8에서, 소스/드레인 마스크(700)를 제거하며, 소스/드레인 개구는 금속과 같은 도전성 물질로 충전된다. 금속은 초기에 형성될 때 제2 컨포멀 패시베이션 층의 전체 노출된 표면 위에서 연장된다. 후속하여, 포토레지스트 마스크와 같은 마스크(미도시)를 소스/드레인 영역 위에 형성하며, 건식 에칭과 같은 에칭을 실행하여, 예시한 도전성 소스/드레인 영역(802)을 형성한다.
도 9에서, 컨포멀 유전체 캐핑 층(902)을 형성한다. 일부 실시예에서, 컨포멀 유전체 캐핑 층은 예컨대 SiN과 같은 질화물이나 예컨대 SiO2와 같은 산화물이다.
도 10에서, 게이트 전극 마스크(100)를 유전체 캐핑 층 위에 형성한다. 게이트 전극 마스크를 제자리에 놓은 상태에서, 건식 에칭과 같은 에칭을 실행하여 게이트 전극 개구(1002)를 형성한다. 게이트 전극 개구는 유전체 캐핑 층, 제1 컨포멀 패시베이션 층 및 제2 컨포멀 패시베이션 층을 관통하여 연장된다. 게이트 전극 개구는 패터닝되고 도핑된 바이너리 Ⅲ-질화물 게이트 구조물 상에서 종료된다.
도 11에서, 도전성 게이트 전극 라이너(1100)를 게이트 전극 개구에 형성한다. 일부 실시예에서, 도전성 게이트 전극 라이너를 PVD 또는 CVD에 의해 퇴적한다. 도전성 게이트 전극 층은 예컨대 알루미늄, 구리, 텅스텐 또는 니켈과 같은 금속을 포함할 수 있거나, 예컨대 도핑된 폴리실리콘과 같은 다른 도전성 물질을 포함할 수 있다.
앞선 기재로부터 이해할 수 있는 바와 같이, 본 개시는, 패시베이션 층을 게이트 전극의 측벽 위에 형성하여 경계면 트랩을 제한하게 하는 기술을 제공한다. 이 패시베이션 층은 게이트 측벽 표면들 중 표면 상에서 종료하며, 이 표면 상에 댕글링 본드를 씌워서 경계면 트랩의 개수를 제한하여 디바이스 성능을 개선하는 것을 돕는다. 특히, 본 패시베이션 층은 게이트 누설 전류를 감소시킨다.
전술한 내용은 여러 실시예의 특성을 개괄적으로 기재하였기에, 당업자는 본 개시의 양태를 더 잘 이해할 수 있다. 당업자는, 본 명세서에서 소개한 실시예의 동일한 목적을 실행하고 및/또는 동일한 장점을 달성하기 위한 다른 처리와 구조를 설계 또는 변경하기 위한 기초로서 본 개시를 쉽게 사용할 수 있음을 이해해야 한다. 당업자는 그러한 등가의 구조가 본 개시의 사상과 적용범위에서 벗어나지 않음과, 본 개시의 사상과 적용범위에서 벗어나지 않고도 여러 변화, 대체 및 변경을 이룰 수 있음을 또한 인식해야 한다.

Claims (10)

  1. 고전자 이동도 트랜지스터(high electron mobility transistor; HEMT)로서,
    반도체 기판 위에 배열되는 헤테로 접합 구조로서, 상기 HEMT의 채널 영역으로서 동작하기 위하여 제1 Ⅲ-질화물 물질로 이루어지는 바이너리(binary) Ⅲ/Ⅴ 반도체 층과, 장벽 층으로서 동작하기 위하여 상기 바이너리 Ⅲ/Ⅴ 반도체 층 위에 배열되며 제2 Ⅲ-질화물 물질로 이루어지는 터너리(ternary) Ⅲ/Ⅴ 반도체 층을 포함하는 것인 상기 헤테로 접합 구조;
    상기 터너리 Ⅲ/Ⅴ 반도체 층 위에 배열되며 서로로부터 측면 방향으로 이격되어 있는 소스 및 드레인 영역;
    상기 헤테로 접합 구조 위에 배열되며 상기 소스 영역과 드레인 영역 사이에 배열되는 게이트 구조물로서, 제3 Ⅲ-질화물 물질로 이루어지는 것인 상기 게이트 구조물; 및
    상기 게이트 구조물의 측벽들 주위에 배치되며, 제4 Ⅲ-질화물 물질로 이루어지는 제1 패시베이션 층을 포함하는 고전자 이동도 트랜지스터(HEMT).
  2. 제1항에 있어서, 상기 게이트 구조물의 상기 제3 Ⅲ-질화물 물질은, 상기 제1 Ⅲ-질화물 물질과 동일한 바이너리 반도체 구성 요소(constituent)들을 갖는 바이너리 Ⅲ/Ⅴ 반도체 물질이며, 상기 제1 패시베이션 층의 상기 제4 Ⅲ-질화물 물질은, 상기 제1 및 제2 Ⅲ-질화물 물질과는 상이한 바이너리 반도체 구성 요소들을 가지는 바이너리 Ⅲ/Ⅴ 반도체 물질인 것인 고전자 이동도 트랜지스터(HEMT).
  3. 제1항에 있어서, 상기 게이트 구조물은, n-형 또는 p-형으로 도핑되며, 상기 바이너리 Ⅲ/Ⅴ 반도체 층은 진성 반도체 물질인 것인 고전자 이동도 트랜지스터(HEMT).
  4. 제1항에 있어서, 상기 제1 패시베이션 층은 컨포멀(conformal)하며, 5Å과 500Å 사이의 두께를 가지는 것인 고전자 이동도 트랜지스터(HEMT).
  5. 제1항에 있어서, 50nm 내지 500nm의 두께를 가지며 상기 제1 패시베이션 층 위에 컨포멀하게(conformally) 놓이는 제2 패시베이션 층을 더 포함하며, 상기 제2 패시베이션 층의 물질 조성은, 상기 제1 패시베이션 층의 물질 조성과는 상이한 것인 고전자 이동도 트랜지스터(HEMT).
  6. 제1항에 있어서, 상기 바이너리 Ⅲ/Ⅴ 반도체 층 아래에 하나 이상의 버퍼 층을 더 포함하고, 최상부 버퍼 층은 상기 제2 Ⅲ-질화물 물질로 이루어지며, 상기 최상부 버퍼 층 아래의 하부 버퍼 층은 상기 제1 Ⅲ-질화물 물질로 이루어지는 것인 고전자 이동도 트랜지스터(HEMT).
  7. 제6항에 있어서, 상기 제1 패시베이션 층은, 상기 하부 버퍼 층과 동일한 물질로 이루어지는 것인 고전자 이동도 트랜지스터(HEMT).
  8. 제1항에 있어서,
    상기 제1 패시베이션 층 위에 컨포멀하게 배치되며, 상기 제1 패시베이션 층의 제1 두께보다 더 큰 제2 두께를 가지는 제2 패시베이션 층;
    상기 제2 패시베이션 층의 상부 표면 영역 위에 컨포멀하게 배치되는 캐핑(capping) 층; 및
    상기 캐핑 층의 상부 표면 영역 위에 놓이는 에지들을 포함하고, 상기 캐핑 층을 관통하고, 상기 제2 패시베이션 층을 관통하며, 상기 제1 패시베이션 층을 관통하여 개구의 측벽들을 따라 하방으로 연장되어 상기 게이트 구조물의 상부 표면과 직접 전기 연결되는 내부 측벽들을 포함하는 금속 게이트 전극을 더 포함하는 고전자 이동도 트랜지스터(HEMT).
  9. 기판 상에 인핸스먼트 모드의 고전자 이동도 트랜지스터(e-HEMT)를 형성하는 방법으로서,
    상기 기판 위에 바이너리 Ⅲ-질화물 채널 층을 형성하는 단계;
    상기 바이너리 Ⅲ-질화물 채널 층 위에 터너리 Ⅲ-질화물 장벽 층을 형성하는 단계로서, 상기 터너리 Ⅲ-질화물 장벽 층은 헤테로 접합 경계면에서 상기 바이너리 Ⅲ-질화물 채널 층과 만나는 것인 상기 터너리 Ⅲ-질화물 장벽 층을 형성하는 단계;
    상기 터너리 Ⅲ-질화물 장벽 층 위에 바이너리 Ⅲ-질화물 게이트 층을 형성하고 도너 또는 억셉터 불순물로 상기 바이너리 Ⅲ-질화물 게이트 층을 도핑하는 단계;
    상부 게이트 표면과 외부 게이트 측벽들을 가지며 상기 터너리 Ⅲ-질화물 장벽 층의 상부 표면 영역은 노출되게 남겨두는 패터닝되고 도핑된 바이너리 Ⅲ-질화물 게이트 구조물을 형성하기 위하여, 상기 도핑된 바이너리 Ⅲ-질화물 게이트 층의 선택된 부분들을 제거하는 단계; 및
    상기 상부 게이트 표면, 외부 게이트 측벽 및 상기 터너리 Ⅲ-질화물 장벽 층의 노출된 상부 표면 영역 위에 제1 컨포멀 패시베이션 층을 형성하는 단계를 포함하는 인핸스먼트 모드의 고전자 이동도 트랜지스터(e-HEMT)를 형성하는 방법.
  10. 기판 상에 형성되는 인핸스먼트 모드의 고전자 이동도 트랜지스터(HEMT)로서,
    상기 기판 위의 AlN 버퍼 층;
    상기 AlN 버퍼 층 위의 AlGaN 버퍼 층;
    상기 AlGaN 버퍼 층 위의 GaN 채널 층;
    상기 GaN 채널 층 위의 AlGaN 장벽 층;
    상기 AlGaN 장벽 층 위의 GaN 게이트 구조물로서, 상기 GaN 게이트 구조물은 억셉터 또는 도너 불순물로 도핑되며, 게이트 구조물 상부 표면과 게이트 구조물 외부 측벽을 가지는 것인 상기 GaN 게이트 구조물; 및
    상기 게이트 구조물 상부 표면 위에 있으며 상기 게이트 구조물 외부 측벽들과 인접해 있는 AlN 또는 BN 컨포멀 패시베이션 층을 포함하는 인핸스먼트 모드의 고전자 이동도 트랜지스터(e-HEMT).
KR1020140182460A 2014-04-30 2014-12-17 Hemt 디바이스를 위한 측벽 패시베이션 KR101697825B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201461986389P 2014-04-30 2014-04-30
US61/986,389 2014-04-30
US14/488,380 2014-09-17
US14/488,380 US9425301B2 (en) 2014-04-30 2014-09-17 Sidewall passivation for HEMT devices

Publications (2)

Publication Number Publication Date
KR20150125538A true KR20150125538A (ko) 2015-11-09
KR101697825B1 KR101697825B1 (ko) 2017-01-18

Family

ID=54355833

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140182460A KR101697825B1 (ko) 2014-04-30 2014-12-17 Hemt 디바이스를 위한 측벽 패시베이션

Country Status (5)

Country Link
US (6) US9425301B2 (ko)
KR (1) KR101697825B1 (ko)
CN (3) CN111883589A (ko)
DE (1) DE102014114635B4 (ko)
TW (1) TWI593102B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11973148B2 (en) 2021-01-15 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Surface damage control in diodes

Families Citing this family (70)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9443737B2 (en) 2013-04-03 2016-09-13 Texas Instruments Incorporated Method of forming metal contacts in the barrier layer of a group III-N HEMT
US9425301B2 (en) * 2014-04-30 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall passivation for HEMT devices
TWI626742B (zh) * 2015-06-18 2018-06-11 台達電子工業股份有限公司 半導體裝置
TWI563654B (en) * 2015-08-26 2016-12-21 Globalwafers Co Ltd Enhancement-Mode High-Electron-Mobility Transistor Structure
FR3041150B1 (fr) * 2015-09-14 2017-09-29 Commissariat Energie Atomique Transistor a enrichissement comportant une heterojonction algan/gan et une grille en diamant dope p
JP6767741B2 (ja) * 2015-10-08 2020-10-14 ローム株式会社 窒化物半導体装置およびその製造方法
EP3179515A1 (en) * 2015-12-10 2017-06-14 Nexperia B.V. Semiconductor device and method of making a semiconductor device
DE102016200825A1 (de) * 2016-01-21 2017-07-27 Robert Bosch Gmbh Vorrichtung und Verfahren zur Herstellung eines lateralen HEMTs
CN106910724B (zh) * 2016-04-05 2020-06-05 苏州捷芯威半导体有限公司 一种半导体器件
US11430882B2 (en) * 2016-06-24 2022-08-30 Wolfspeed, Inc. Gallium nitride high-electron mobility transistors with p-type layers and process for making the same
US10892356B2 (en) 2016-06-24 2021-01-12 Cree, Inc. Group III-nitride high-electron mobility transistors with buried p-type layers and process for making the same
US10840334B2 (en) 2016-06-24 2020-11-17 Cree, Inc. Gallium nitride high-electron mobility transistors with deep implanted p-type layers in silicon carbide substrates for power switching and radio frequency applications and process for making the same
JP6685870B2 (ja) * 2016-09-15 2020-04-22 株式会社東芝 半導体装置
US11114543B2 (en) * 2017-01-24 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Group III-V device structure
JP6860847B2 (ja) * 2017-03-13 2021-04-21 サンケン電気株式会社 ノーマリオフ型のhfetおよびその製造方法
US10170580B2 (en) 2017-05-23 2019-01-01 Industrial Technology Research Institute Structure of GaN-based transistor and method of fabricating the same
US10700190B2 (en) 2018-01-23 2020-06-30 Vanguard International Semiconductor Corporation Semiconductor devices and methods for manufacturing the same
US10516023B2 (en) * 2018-03-06 2019-12-24 Infineon Technologies Austria Ag High electron mobility transistor with deep charge carrier gas contact structure
US10541313B2 (en) 2018-03-06 2020-01-21 Infineon Technologies Austria Ag High Electron Mobility Transistor with dual thickness barrier layer
CN110581163B (zh) * 2018-06-08 2023-07-18 世界先进积体电路股份有限公司 半导体装置及其制造方法
CN108899366B (zh) * 2018-06-11 2022-05-17 西安电子科技大学 一种新型P-GaN栅结构的增强型器件及其制作方法
US10797153B2 (en) * 2018-07-02 2020-10-06 Semiconductor Components Industries, Llc Process of forming an electronic device including an access region
CN110718587B (zh) * 2018-07-13 2022-09-27 苏州能讯高能半导体有限公司 半导体器件及制作方法
TWI678731B (zh) * 2018-08-28 2019-12-01 世界先進積體電路股份有限公司 半導體裝置及其製造方法
US20200075314A1 (en) * 2018-08-29 2020-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Doped buffer layer for group iii-v devices on silicon
US11177216B2 (en) * 2018-09-06 2021-11-16 Raytheon Company Nitride structures having low capacitance gate contacts integrated with copper damascene structures
US10707322B2 (en) * 2018-10-22 2020-07-07 Vanguard International Semiconductor Corporation Semiconductor devices and methods for fabricating the same
TWI693716B (zh) * 2018-11-23 2020-05-11 世界先進積體電路股份有限公司 半導體裝置及其製造方法
CN111276538B (zh) * 2018-12-04 2023-03-14 世界先进积体电路股份有限公司 半导体装置及其制造方法
US10741496B2 (en) * 2018-12-04 2020-08-11 Nxp Usa, Inc. Semiconductor devices with a protection layer and methods of fabrication
CN109659366A (zh) 2018-12-21 2019-04-19 英诺赛科(珠海)科技有限公司 高电子迁移率晶体管及其制造方法
TWI680503B (zh) 2018-12-26 2019-12-21 杰力科技股份有限公司 氮化鎵高電子移動率電晶體的閘極結構的製造方法
TWI679770B (zh) 2018-12-26 2019-12-11 杰力科技股份有限公司 氮化鎵高電子移動率電晶體及其閘極結構
US10644128B1 (en) 2019-01-07 2020-05-05 Vanguard International Semiconductor Corporation Semiconductor devices with reduced channel resistance and methods for fabricating the same
CN109585545B (zh) * 2019-01-15 2020-11-06 中山大学 一种增强型半导体器件及其制备方法
CN111668302B (zh) * 2019-03-08 2023-03-14 世界先进积体电路股份有限公司 半导体装置及其制造方法
US11335797B2 (en) * 2019-04-17 2022-05-17 Vanguard International Semiconductor Corporation Semiconductor devices and methods for fabricating the same
CN110071173B (zh) * 2019-04-30 2023-04-18 英诺赛科(珠海)科技有限公司 半导体装置及其制造方法
JP7395273B2 (ja) * 2019-07-02 2023-12-11 ローム株式会社 窒化物半導体装置およびその製造方法
CN112652659B (zh) * 2019-10-09 2024-02-13 联华电子股份有限公司 高电子迁移率晶体管及其制作方法
CN112928161B (zh) * 2019-12-06 2024-01-02 联华电子股份有限公司 高电子迁移率晶体管及其制作方法
CN113257908A (zh) * 2020-02-13 2021-08-13 苏州晶界半导体有限公司 一种氮化物器件
WO2021217494A1 (en) * 2020-04-29 2021-11-04 Innoscience (Zhuhai) Technology Co., Ltd. Electronic device
WO2021243482A1 (zh) * 2020-05-30 2021-12-09 华为数字能源技术有限公司 基于氮化镓芯片、芯片制备方法及氮化镓功率器件、电路
CN114078965B (zh) * 2020-08-11 2023-08-08 联华电子股份有限公司 高电子迁移率晶体管及其制作方法
CN111952176A (zh) * 2020-08-17 2020-11-17 深圳方正微电子有限公司 半导体结构、增强型高电子迁移率晶体管及其制备方法
US11862721B2 (en) * 2020-09-30 2024-01-02 Innoscience (Suzhou) Technology Co., Ltd. HEMT semiconductor device with a stepped sidewall
US11830913B2 (en) 2020-10-28 2023-11-28 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and fabrication method thereof
US20220376066A1 (en) * 2020-11-30 2022-11-24 Innoscience (suzhou) Semiconductor Co., Ltd. Semiconductor device and manufacturing method thereof
US11942326B2 (en) * 2020-12-16 2024-03-26 Semiconductor Components Industries, Llc Process of forming an electronic device including a doped gate electrode
TWI775276B (zh) * 2021-01-15 2022-08-21 世界先進積體電路股份有限公司 高電子遷移率電晶體及其製作方法
US20230095367A1 (en) * 2021-02-19 2023-03-30 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
CN115132585A (zh) * 2021-03-29 2022-09-30 联华电子股份有限公司 高电子迁移率晶体管及其制作方法
US11715756B2 (en) * 2021-04-09 2023-08-01 Taiwan Semiconductor Manufacturing Company, Ltd. Device structure and methods of forming the same
US20220336649A1 (en) * 2021-04-15 2022-10-20 Vanguard International Semiconductor Corporation High electron mobility transistor and fabrication method thereof
KR20220153962A (ko) * 2021-05-12 2022-11-21 삼성전자주식회사 반도체 집적 회로 소자 및 그 제조 방법
US11929428B2 (en) 2021-05-17 2024-03-12 Wolfspeed, Inc. Circuits and group III-nitride high-electron mobility transistors with buried p-type layers improving overload recovery and process for implementing the same
CN115117055B (zh) * 2021-05-25 2023-12-05 英诺赛科(苏州)科技有限公司 氮化物基半导体双向切换器件和其制造方法
TWI769017B (zh) * 2021-07-20 2022-06-21 世界先進積體電路股份有限公司 半導體結構
US20240030331A1 (en) * 2021-08-06 2024-01-25 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
US20240047451A1 (en) * 2021-08-06 2024-02-08 Innoscience (Suzhou) Technology Co., Ltd. Nitride-based semiconductor ic chip and method for manufacturing the same
WO2023015496A1 (en) * 2021-08-11 2023-02-16 Innoscience (suzhou) Semiconductor Co., Ltd. Semiconductor device and method for manufacturing the same
WO2023019436A1 (en) * 2021-08-17 2023-02-23 Innoscience (Suzhou) Technology Co., Ltd. Semiconductor device and method for manufacturing the same
WO2023035102A1 (en) * 2021-09-07 2023-03-16 Innoscience (Suzhou) Technology Co., Ltd. Nitride-based semiconductor device and method for manufacturing thereof
WO2023102744A1 (en) * 2021-12-07 2023-06-15 Innoscience (suzhou) Semiconductor Co., Ltd. Nitride-based semiconductor device and method for manufacturing the same
CN114402442B (zh) * 2021-12-17 2023-12-22 英诺赛科(苏州)科技有限公司 氮化物基半导体装置及其制造方法
US20240055509A1 (en) * 2021-12-31 2024-02-15 Innoscience (Suzhou) Technology Co., Ltd. Nitride-based semiconductor device and method for manufacturing the same
CN114927586B (zh) * 2022-03-15 2024-03-22 中国民用航空飞行学院 一种基于新型三元材料的异质结光电探测器及制备方法
US11799000B1 (en) 2022-12-21 2023-10-24 Hiper Semiconductor Inc. High electron mobility transistor and high electron mobility transistor forming method
CN117637835A (zh) * 2024-01-23 2024-03-01 英诺赛科(珠海)科技有限公司 一种氮化镓器件及其制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007019309A (ja) * 2005-07-08 2007-01-25 Matsushita Electric Ind Co Ltd トランジスタ及びその動作方法
JP2012109345A (ja) * 2010-11-16 2012-06-07 Rohm Co Ltd 窒化物半導体素子および窒化物半導体パッケージ

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100344103B1 (ko) 2000-09-04 2002-07-24 에피밸리 주식회사 질화갈륨계 결정 보호막을 형성한 반도체 소자 및 그 제조방법
US6849882B2 (en) 2001-05-11 2005-02-01 Cree Inc. Group-III nitride based high electron mobility transistor (HEMT) with barrier/spacer layer
KR100415538B1 (ko) 2001-09-14 2004-01-24 주식회사 하이닉스반도체 이중 유전막을 구비한 캐패시터 및 그 제조 방법
US7649215B2 (en) 2003-12-05 2010-01-19 International Rectifier Corporation III-nitride device passivation and method
US7332795B2 (en) * 2004-05-22 2008-02-19 Cree, Inc. Dielectric passivation for semiconductor devices
US20060145190A1 (en) 2004-12-31 2006-07-06 Salzman David B Surface passivation for III-V compound semiconductors
US7548112B2 (en) 2005-07-21 2009-06-16 Cree, Inc. Switch mode power amplifier using MIS-HEMT with field plate extension
JP4799965B2 (ja) * 2005-09-06 2011-10-26 日本電信電話株式会社 窒化物半導体を用いたヘテロ構造電界効果トランジスタ
US7656010B2 (en) * 2006-09-20 2010-02-02 Panasonic Corporation Semiconductor device
US7692263B2 (en) 2006-11-21 2010-04-06 Cree, Inc. High voltage GaN transistors
JP5201437B2 (ja) 2006-11-30 2013-06-05 国立大学法人 名古屋工業大学 絶縁ゲート電界効果トランジスタ
JP2009206163A (ja) * 2008-02-26 2009-09-10 Oki Electric Ind Co Ltd ヘテロ接合型電界効果トランジスタ
US8436398B2 (en) * 2009-04-08 2013-05-07 Efficient Power Conversion Corporation Back diffusion suppression structures
US20110210377A1 (en) 2010-02-26 2011-09-01 Infineon Technologies Austria Ag Nitride semiconductor device
JP6035007B2 (ja) * 2010-12-10 2016-11-30 富士通株式会社 Mis型の窒化物半導体hemt及びその製造方法
JP5825017B2 (ja) 2011-09-29 2015-12-02 富士通株式会社 化合物半導体装置及びその製造方法
EP2602827B1 (en) * 2011-12-09 2016-02-03 Imec Enhancement mode III-nitride device and method for manufacturing thereof
US8946776B2 (en) 2012-06-26 2015-02-03 Freescale Semiconductor, Inc. Semiconductor device with selectively etched surface passivation
US8900985B2 (en) * 2012-10-15 2014-12-02 Infineon Technologies Austria Ag Self-doped ohmic contacts for compound semiconductor devices
JP5949527B2 (ja) * 2012-12-21 2016-07-06 富士通株式会社 半導体装置及びその製造方法、電源装置、高周波増幅器
US8803158B1 (en) * 2013-02-18 2014-08-12 Taiwan Semiconductor Manufacturing Company, Ltd. High electron mobility transistor and method of forming the same
US8878188B2 (en) 2013-02-22 2014-11-04 Translucent, Inc. REO gate dielectric for III-N device on Si substrate
US20140335666A1 (en) * 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
US9425301B2 (en) * 2014-04-30 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Sidewall passivation for HEMT devices

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007019309A (ja) * 2005-07-08 2007-01-25 Matsushita Electric Ind Co Ltd トランジスタ及びその動作方法
JP2012109345A (ja) * 2010-11-16 2012-06-07 Rohm Co Ltd 窒化物半導体素子および窒化物半導体パッケージ

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
O.Hilt, et al. "Normally-off High-Voltage p-GaN Gate GaN HFET with Carbon-Doped Buffer", IEEE, page 239~242, May 2011* *
Zhikai Tang, et al. "High-Voltage(600-V) Low-Leakage Low-Current-Collapse AlGaN/GaN HEMTs With AlN/SiNx Passivation"IEEE, VOL.34, NO.3, page 366~368, MARCH 2013* *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11973148B2 (en) 2021-01-15 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Surface damage control in diodes

Also Published As

Publication number Publication date
US20150318387A1 (en) 2015-11-05
CN105047707A (zh) 2015-11-11
US20180308953A1 (en) 2018-10-25
US9685525B2 (en) 2017-06-20
US10020376B2 (en) 2018-07-10
US20170271473A1 (en) 2017-09-21
CN111883589A (zh) 2020-11-03
US20160351684A1 (en) 2016-12-01
US20210119011A1 (en) 2021-04-22
US11522066B2 (en) 2022-12-06
US10522647B2 (en) 2019-12-31
TWI593102B (zh) 2017-07-21
DE102014114635B4 (de) 2024-01-25
KR101697825B1 (ko) 2017-01-18
TW201541636A (zh) 2015-11-01
DE102014114635A1 (de) 2016-01-07
US20200098889A1 (en) 2020-03-26
US10868136B2 (en) 2020-12-15
CN111883588A (zh) 2020-11-03
US9425301B2 (en) 2016-08-23

Similar Documents

Publication Publication Date Title
US11522066B2 (en) Sidewall passivation for HEMT devices
JP6554530B2 (ja) 再成長構造を用いたiii族窒化物トランジスタ
US10608102B2 (en) Semiconductor device having a drain electrode contacting an epi material inside a through-hole and method of manufacturing the same
US20120126291A1 (en) Semiconductor device
US20240038886A1 (en) Semiconductor device and method for manufacturing the same
US20240105812A1 (en) Nitride-based semiconductor device and method for manufacturing the same
US20240038887A1 (en) Semiconductor device and method for manufacturing the same
WO2023019436A1 (en) Semiconductor device and method for manufacturing the same
US9786775B2 (en) Normally-off high electron mobility transistors and fabrication methods thereof
WO2023141749A1 (en) GaN-BASED SEMICONDUCTOR DEVICE WITH REDUCED LEAKAGE CURRENT AND METHOD FOR MANUFACTURING THE SAME
WO2023082202A1 (en) Semiconductor device and method for manufacturing thereof
US20240038883A1 (en) Semiconductor device and method for manufacturing the same
WO2024016216A1 (en) Nitride-based semiconductor device and method for manufacturing the same
US20230215912A1 (en) Semiconductor device and method for manufacturing the same
WO2024011609A1 (en) Semiconductor device and method for manufacturing thereof
WO2024092720A1 (en) Semiconductor device and method for manufacturing the same
WO2024092544A1 (en) Nitride-based semiconductor device and method for manufacturing thereof
WO2023197251A1 (en) Nitride-based semiconductor device and method for manufacturing the same
WO2024087005A1 (en) Nitride-based semiconductor device and method for manufacturing the same
WO2023240491A1 (en) Nitride-based semiconductor device and method for manufacturing the same

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant