KR20150072333A - 채널 스트레인용 게르마늄 프로파일 - Google Patents

채널 스트레인용 게르마늄 프로파일 Download PDF

Info

Publication number
KR20150072333A
KR20150072333A KR1020140167747A KR20140167747A KR20150072333A KR 20150072333 A KR20150072333 A KR 20150072333A KR 1020140167747 A KR1020140167747 A KR 1020140167747A KR 20140167747 A KR20140167747 A KR 20140167747A KR 20150072333 A KR20150072333 A KR 20150072333A
Authority
KR
South Korea
Prior art keywords
source
germanium
concentration profile
drain
semiconductor substrate
Prior art date
Application number
KR1020140167747A
Other languages
English (en)
Other versions
KR101706429B1 (ko
Inventor
수에창 성
츠메이 곽
쿤무 리
체리앙 리
치이호릉 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20150072333A publication Critical patent/KR20150072333A/ko
Application granted granted Critical
Publication of KR101706429B1 publication Critical patent/KR101706429B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/04Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes
    • H01L29/045Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their crystalline structure, e.g. polycrystalline, cubic or particular orientation of crystalline planes by their particular orientation of crystalline planes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 개시는 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 유도 재료를 포함하는 스트레인드 소스/드레인 구역을 갖는 트랜지스터 디바이스에 관한 것이다. 몇몇 실시예에서, 트랜지스터 디바이스는 반도체 기판 사에 배치되는 게이트 구조체를 갖는다. 스트레인 유도 재료를 갖는 소스/드레인 구역은 반도체 기판 내의 소스/드레인 리세스 내측에 게이트 구조체의 측부를 따라 배치된다. 스트레인 유도 재료는 소스/드레인 리세스의 바닥면으로부터 소스/드레인 리세스의 상단면으로 연장되는 라인을 따라 불연속적인 게르마늄 농도 프로파일을 갖는다. 불연속적인 게르마늄 농도 프로파일은 스트레인 신장 및 전위 전파를 향상시킨다.

Description

채널 스트레인용 게르마늄 프로파일{GERMANIUM PROFILE FOR CHANNEL STRAIN}
본 개시는 채널 스트레인용 게르마늄 프로파일에 관한 것이다.
반도체 산업은 무어의 법칙에 따라 집적 칩(IC; integrated chip) 구성요소의 최소 특징부 크기를 축소시킴으로써 집적 칩의 성능을 계속적으로 개선시켜 왔다. 그러나, 최근에, 일부 IC 구성요소의 축소가 점점 더 어려워졌다. 축소 요구를 경감하기 위하여, 반도체 산업은 집적 칩의 성능을 개선하기 위한 다른 방법에 주목하였다.
트랜지스터 디바이스의 성능을 개선하기 위하여 공칭 스트레인이 흔히 이용된다. 예컨대, PMOS 트랜지스터의 채널 구역 상에 압력 응력을 도입함으로써, 트랜지스터의 이동도 및 성능이 개선된다. 트랜지스터 성능을 개선하기 위하여 공칭 스트레인을 이용함으로써, 집적 칩 설계를 축소하는(예컨대, 게이트 유전체 두께를 더 감소시키는) 요구가 경감된다.
도 1은 채널 구역 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스의 몇몇 실시예의 단면도를 예시한다.
도 2는 채널 구역을 통해 연장되는 수직 단면을 따라 게르마늄 농도 프로파일을 보여주는 그래프의 몇몇 실시예를 예시한다.
도 3은 채널 구역 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스의 몇몇 실시예의 단면도를 예시한다.
도 4는 채널 구역 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스를 형성하는 방법의 몇몇 실시예의 흐름도를 예시한다.
도 5는 채널 구역 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스를 형성하는 방법의 몇몇 추가 실시예의 흐름도를 예시한다.
도 6, 7, 8a, 8b 및 9는 채널 구역 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스를 형성하는 방법을 보여주는 반도체 기판의 단면도의 몇몇 실시예를 예시한다.
본 명세서의 설명은 동일한 참조 번호가 도면 전체에 걸쳐서 동일한 요소를 지칭하도록 일반적으로 사용되는 도면을 참조한다. 아래의 설명에서, 설명을 위해, 이해를 용이하게 하도록 다수의 특정한 상세가 기술된다. 그러나, 본 명세서에 설명되는 하나 이상의 양태가 이들 특정한 상세보다 적은 상세를 이용하여 실시될 수 있다는 것이 당업자에게 명백할 수 있다. 다른 경우에, 공지된 구조 및 디바이스는 이해를 용이하게 하도록 블럭도 형태로 도시되어 있다.
스트레인드 채널 MOSFET(metal-oxide-semiconductor field effect transistor) 디바이스는 채널 구역의 대향 단부에 스트레인 유도 소스 및 드레인 구역을 형성함으로써 형성될 수 있다. 스트레인 유도 소스 및 드레인 구역은 기판 내에 소스 및 드레인 리세스를 형성하고, 이어서 소스 및 드레인 리세스 내에 스트레인 유도층을 증착함으로써 형성된다. 예컨대, 실리콘 게르마늄(SiGe) 등의 게르마늄 함유 재료가 p-채널 MOSFET의 소스 또는 드레인 리세스 내에 증착되어 그 사이에서 채널 구역 내에 압축 스트레인을 제공할 수 있다. 유사하게, 실리콘 탄화물(SiC) 등의 탄소 함유 재료가 n-채널 MOSFET의 전자 이동도 향상을 위해 이용될 수 있다.
진보 기술 노드 개발(즉, 노드-28 이하)에서 p-채널 MOSFET 채널 이동도 향상을 위해, 소스 또는 드레인 리세스 내에 대략 40%보다 큰 게르마늄(Ge) 농도(예컨대, Si1-xGex, 여기서 x > 40%)가 이용될 수 있다. 보통, Ge 농도는 리세스의 바닥으로부터 상단을 향해 연속적으로 증가한다. 게르마늄 농도를 점진적으로 증가시키면 전위의 형성 가능성이 감소되고, 이로 인해 채널에 가까운 게르마늄 농도가 낮아지며 이에 따라 스트레인 이점의 손실이 낮아질 수 있다.
따라서, 본 개시는 스트레인 신장 및 전위 전파를 개선시키는 불연속적인 게르마늄 농도를 갖는 스트레인드 유도 재료를 포함하는 스트레인드 소스/드레인 구역을 갖는 트랜지스터 디바이스, 및 관련 형성 방법에 관한 것이다. 몇몇 실시예에서, 트랜지스터 디바이스는 반도체 기판 상에 배치되는 게이트 구조체를 포함한다. 스트레인 유도 재료를 포함하는 소스/드레인 구역은 반도체 기판의 소스/드레인 리세스 내에서 게이트 구조체에 인접한 위치에 배치된다. 스트레인 유도 재료는 소스/드레인 구역의 바닥면으로부터 소스/드레인 리세스의 상단면을 연장되는 라인을 따라 불연속적인 게르마늄 농도 프로파일을 갖는다. 불연속적인 게르마늄 농도 프로파일은 제1 위치에서의 게르마늄 고농도로부터 제1 위치 위에 있는 제2 위치에서의 게르마늄 저농도로 변화함으로써, 트랜지스터의 채널 구역 근처에 인접하게 더 높은 도핑 농도를 제공한다.
도 1은 소스/드레인 구역(104) 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스(100)의 몇몇 실시예의 단면도를 예시한다.
스트레인드 채널 트랜지스터 디바이스(100)는 반도체 기판(102) 내에 배치되는 스트레인드 소스 구역(104a)과 스트레인드 드레인 구역(104b)을 포함한다. 스트레인드 소스 및 드레인 구역(104a, 104b)은 채널 구역(105)에 의해 분리된다. 채널 구역(105) 내에서 전하 캐리어(예컨대, 전자 및/또는 정공)의 유동을 제어하도록 구성되는 게이트 구조체(108)가 소스 및 드레인 구역(104a, 104b) 사이에 측방향으로 위치되는 위치와, 채널 구역(105) 위에 수직 방향으로 놓이는 위치에서 반도체 기판(102) 상에 배치된다.
몇몇 실시예에서, 게이트 구조체(108)는 게이트 유전체층(110), 게이트 유전체층(112), 및 하드마스크층(114)를 포함하는 적층 구조체로 이루어질 수 있다. 게이트 유전체층(110)은 반도체 기판(102) 상에 배치된다. 게이트 유전체층(112; 예컨대, 폴리실리콘, 대체 금속 등)은 게이트 유전체층(110) 상에 배치되고, 하드마스크층(114; 예컨대, SiO2 또는 다른 유전체)은 게이트 전극층(112) 상에 배치된다. 몇몇 실시예에서, 측벽 스페이서(116)가 게이트 구조체(108)의 대향 측부에 위치된다. 측벽 스페이서(116)는 게이트 전극층(112)을 스트레인드 소스 및 드레인 구역(104a, 104b)으로부터 격리시키도록 구성된다.
스트레인드 소스 및 드레인 구역(104a, 104b)은 게이트 구조체(108)에 인접한 위치에서 반도체 기판(102) 내의 리세스 내측에 배치되는 스트레인 유도 재료를 포함한다. 스트레인드 소스 및 드레인 구역(104a, 104b)은 채널 구역(105) 상에 스트레인(예컨대 압축 스트레인 또는 인장 스트레인)을 유도하도록 구성된다. 스트레인 유도 재료는 스트레인드 소스 및 드레인 구역(104a, 104b)의 바닥면으로부터 스트레인드 소스 및 드레인 구역(104a, 104b)의 상단면으로 연장되는 법선(즉, 바닥면에 수직)을 따라 불연속적인 농도 프로파일을 갖는 스트레인 유도 성분을 갖는다. 불연속적인 농도 프로파일은 법선을 따라 복수 개의 불연속부(예컨대, 농도 프로파일에서 파괴점 또는 중단점)을 포함한다.
몇몇 실시예에서, 스트레인 유도 재료는 실리콘 게르마늄을 포함할 수 있고 스트레인 유도 성분은 게르마늄을 포함할 수 있다. 그러한 실시예에서, 게르마늄은 게르마늄(Ge)과 실리콘(Si)의 격자 상수들의 차이로 인해 채널 구역(105) 상에 스트레인을 유도하도록 구성된다. 다른 실시예에서, 스트레인 유도 재료는 예를 들어 실리콘 카바이드(SiC)와 같은 대안의 재료를 포함할 수 있다.
몇몇 실시예에서, 스트레인 유도 재료(예컨대, SiGe)는 스트레인드 소스 및 드레인 구역(104a 및 104b)의 리세스 내에 배치된 복수 개의 별개의 에피택셜층들을 포함할 수 있다. 몇몇 실시예에서, 복수 개의 별개의 에피택셜층들은 인접한 층들 사이의 교차점에서 불연속인, 상이한 스트레인 유도 성분 농도 프로파일(예컨대, 게르마늄 농도 프로파일)을 각각 가질 수 있다.
예컨대, 스트레인드 소스 및 드레인 구역(104a, 104b)는 제1 SiGe층(106a), 제2 SiGe층(106b), 및 제3 SiGe층(106c)을 포함할 수 있다. 제1 SiGe층(106a)은 제1 위치에서의 게르마늄 고농도로부터 제1 위치 위에 있는 제2 위치에서의 게르마늄 저농도로 변화하는 제1 게르마늄 농도 프로파일을 포함할 수 있다. 제2 SiGe층(106b)은 제1 농도 프로파일과 불연속적인 제2 게르마늄 농도 프로파일을 포함할 수 있다. 몇몇 실시예에서, 제2 게르마늄 농도 프로파일은 제1 위치에서의 저농도로부터 제3 위치 위에 있는 제4 위치에서의 고농도로 변화할 수 있다. 제3 SiGe층(106c)은 제2 농도 프로파일과 불연속적인 제2 게르마늄 농도 프로파일을 포함할 수 있다. 몇몇 실시예에서, 제3 SiGe층(106c)은 일정한 게르마늄 농도를 갖는 SiGe층 또는 도핑되지 않은 순수 실리콘을 포함하는 실리콘 캡층(즉, SiGex층, 여기서 x = 0)을 포함할 수 있다.
스트레인드 소스 및 드레인 영역(104a 및 104b)이 3층 구역으로서 스트레인드 채널 트랜지스터 디바이스(100)에서 예시되었지만, 개시된 스트레인드 소스 및 드레인 구역(104a 및 104b)은 그러한 층들에 한정되지 않는다는 것이 인지될 것이다. 다른 실시예에 있어서, 스트레인드 소스 및 드레인 영역(104a 및 104b)은 추가의 층들(예컨대, 제4 SiGe층, 제5 SiGe층 등)을 포함할 수 있다.
도 2는 스트레인드 소스 구역(예컨대, 104a)과 스트레인드 드레인 구역(예컨대, 104b)을 통해 연장되는 수직 단면 A-A'를 따른 게르마늄 농도 프로파일을 보여주는 그래프(200)의 몇몇 실시예를 예시한다. 그래프(200)는 y축을 따른 도핑 농도와 x축을 따른 반도체 기판의 표면으로부터의 거리를 예시한다.
그래프(200)의 게르마늄 농도 프로파일은 상이한 게르마늄 농도 프로파일을 갖는 3개의 별개의 구역(202, 204, 206)(즉, 3층 SiGe 소스/드레인 구역)을 갖춘 SiGe 소스/드레인 구역을 위한 불연속적인 농도 프로파일을 포함한다. 별개의 구역(202-206)은 인접한 구역들 간에 파괴점 또는 중단점에 의해 마킹된다.
예컨대, 제1 구역(206)은 스트레인드 소스/드레인 구역 내의 바닥 SiGe층을 위한 제1 게르마늄 농도 프로파일을 포함한다. 제1 게르마늄 농도 프로파일은 제1 구역(206)의 바닥면에서의 제1 게르마늄 농도(C1)로부터 제1 게르마늄 농도(C1)보다 작은 제1 구역(206)의 상단에서의 제2 게르마늄 농도(C2)로 연장된다. 몇몇 실시예에서, 제1 구역(206) 내의 게르마늄 농도는 대략 40%의 게르마늄 농도(바닥면에서)와 대략 10%의 게르마늄 농도(상단면에서) 사이의 범위일 수 있다.
제2 구역(204)은 스트레인드 소스/드레인 구역 내의 중간 SiGe층을 위한 제2 게르마늄 농도 프로파일을 포함한다. 제2 게르마늄 농도 프로파일은 제2 구역(204)의 바닥면에서의 제3 게르마늄 농도(C3)로부터 제3 게르마늄 농도(C3)보다 큰 제4 게르마늄 농도(C4)로 연장된다. 제2 게르마늄 농도 프로파일은 제1 게르마늄 농도 프로파일과 불연속적인데, 그 이유는 깊이(d2-δ)에서의 제3 게르마늄 농도(C3)가 d2의 깊이에서의 제2 게르마늄 농도(C2)와 상이하기 때문이다. 몇몇 실시예에서, 제2 구역(204) 내의 게르마늄 농도는 대략 30%의 게르마늄 농도(바닥면에서)와 대략 70%의 게르마늄 농도(상단면에서) 사이의 범위일 수 있다.
제3 구역(202)은 소스/드레인 구역 내의 상부 SiGe층을 위한 제3 게르마늄 농도 프로파일을 포함한다. 제3 게르마늄 농도 프로파일은 일정한 게르마늄 농도 프로파일(208 또는 210)을 포함한다. 몇몇 실시예에서, 상단 SiGe층이 실리콘 캡층을 포함하는 경우에, 일정한 게르마늄 농도 프로파일(208)은 농도(C5)를 포함할 수 있다. 다른 실시예에서, 상단 SiGe층이 SiGe층을 포함하는 경우에, 일정한 게르마늄 농도 프로파일(210)은 농도(C6)를 포함할 수 있다. 제3 게르마늄 농도 프로파일은 제2 게르마늄 농도 프로파일과 불연속적인데, 그 이유는 깊이(d1-δ)에서의 게르마늄 농도(C5 또는 C6)가 d1의 깊이에서의 제4 게르마늄 농도(C4)와 상이하기 때문이다.
도 3은 소스/드레인 구역 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스(300)의 몇몇 추가 실시예의 단면도를 예시한다.
스트레인드 채널 트랜지스터 디바이스(300)는 반도체 기판(102)에서 게이트 구조체(108) 옆에 배치되는 소스/드레인 구역(104)을 포함한다. 소스/드레인 구역(104)은 반도체 기판(102)에 다이아몬드 형상 또는 "V" 형상의 캐비티를 제공하는 이방성 에칭 프로파일을 갖는다. "V" 형상의 캐비티는 <111> 표면(312a, 312b)이 측면에 있는 <100> 표면(310)을 포함한다.
소스/드레인 구역(104)은 하나 이상의 추가 SiGe층(106b-106c) 아래에 위치되는 바닥/제1 SiGe층(106a)을 포함한다. 제1 SiGe층(106a)은 본 명세서에서 집합적으로 바닥면으로서 지칭되는 <100> 표면(310)과 <111> 표면(312, 312b) 상에 배치된다. 제1 SiGe층(106a0의 게르마늄 농도 프로파일은 바닥면으로부터 바닥면 위에 있는 위치를 향해 감소된다. 소스/드레인 리세스는 <100> 표면(310)과 <111> 표면(312a, 312b)을 갖기 때문에, "위에 있는"이라는 용어는 엄격히 말해서 수직 방향으로 위에 있는 것으로 제한되지 않는다는 점을 알 것이다. 예컨대, "위에 있는"이라는 용어는 또한 <100> 표면에 수직인 라인을 따라 또는 <111> 표면에 수직인 라인을 따라 위에 있는(예컨대, 45°각도를 따라 위에 있는) 것을 의미할 수 있다.
몇몇 실시예에서, 제1 SiGe층(106a)의 게르마늄 농도 프로파일은 하지면의 결정 격자에 따라(즉, 소스/드레인 구역 내의 방향에 따라) 변경될 수 있다. 몇몇 실시예에서, 제1 SiGe층(106a)은 <111> 표면(312a 또는 312b)을 포함하는 제2 바닥면에 수직으로 연장되는 제2 라인(예컨대, 306)이 아니라, <100> 표면(310)을 포함하는 제1 바닥면에 수직으로 연장되는 제1 라인(예컨대, 302)을 따른 상이한 도핑 농도 프로파일을 가질 수 있다.
예컨대, 제1 방향(302)은 <100> 표면(310)에 수직으로 연장된다. 제1 방향(302)을 따라, 바닥 SiGe층 내의 게르마늄 농도 프로파일은 대략 25%의 값에서 시작할 수 있고(바닥면에서) 대략 12%의 값까지 감소할 수 있다(상단면에서). 유사하게, 제2 방향(304)을 따라, 제1 SiGe층(106a) 내의 게르마늄 농도 프로파일은 대략 25%의 값에서 시작할 수 있고 대략 12%의 값까지 감소할 수 있다. 제1 및 제2 방향(302, 304)을 따라 게르마늄 농도 프로파일을 감소시킴으로써, 하부 게르마늄 농도가 <111> 표면 근처에서 달성됨으로써, 스트레인드 채널 트랜지스터 디바이스(300) 내에서 전위 전파를 감소시킨다.
제3 방향(306)은 <111> 표면(312a 또는 312b)에 수직으로 연장된다. 제3 방향(306)을 따라, 제1 SiGe층(106a) 내의 게르마늄 농도 프로파일은 대략 20%의 값에서 시작할 수 있고 대략 12%의 값까지 감소할 수 있다.
제4 방향(308)을 따라, 제1 SiGe층(106a) 내의 게르마늄 농도 프로파일은 대략 25%의 값에서 시작할 수 있고 대략 12%의 값까지 감소할 수 있다. 제4 방향(308)을 따라 게르마늄 농도 프로파일을 게르마늄 고농도로부터 더 게르마늄 저농도까지 감소시킴으로써, 더 게르마늄 고농도가 채널 구역(105) 근처에서 달성되고 채널 스트레인과 디바이스 성능이 수행된다.
도 4는 소스/드레인 구역 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스를 형성하는 방법(400)의 몇몇 실시예의 흐름도를 예시한다.
402에서, 반도체 기판이 제공된다. 다양한 실시예에서, 반도체 기판(102)은 반도체 웨이퍼 및/또는 반도체 웨이퍼 상의 하나 이상의 다이와 같은 임의의 타입의 반도체 본체(예컨대, 실리콘, 실리콘-게르마늄, 실리콘-온-절연체 등) 뿐만 아니라 임의의 다른 타입의 반도체 및/또는 그과 관련된 에피택셜층을 포함할 수 있다.
404에서, 소스/드레인 리세스가 반도체 기판에 형성된다. 몇몇 실시예에서, 복수 개의 에칭 프로세스가 소스/드레인 리세스를 형성하도록 사용될 수 있다. 예컨대, 몇몇 실시예에서, 등방성 에칭 프로세스가 반도체 기판 상에 수행되어 게이트 구조체에 인접한 위치에서 반도체 기판 내에 리세스를 형성할 수 있다. 이어서, 이방성 에칭이 수행되어 V 형태의 소스/드레인 리세스를 달성할 수 있다.
406에서, 스트레인 유도 재료가 소스/드레인 리세스에 형성되어 소스/드레인 구역을 형성한다. 스트레인 유도 재료는 소스/드레인 리세스의 바닥면으로부터 소스/드레인 리세스의 상단면으로 연장되는 라인을 따라 불연속적인 농도 프로파일을 갖는 스트레인 유도 성분을 포함한다. 몇몇 실시예에서, 스트레인 유도 성분은 게르마늄을 포함할 수 있어, 소스/드레인 리세스는 소스/드레인 리세스의 바닥면으로부터 소스/드레인 리세스의 상단면으로 연장되는 라인을 따라 불연속적인 게르마늄(Ge) 농도를 포함한다.
몇몇 실시예에서, 스트레인 유도 재료는 복수 개의 별개의 에피택셜층으로서 소스/드레인 리세스 내에 형성될 수 있다. 몇몇 실시예에서, 복수 개의 별개의 에피택셜층이 동일한 처리 챔버 내의 제위치에 형성될 수 있다(즉, 처리 챔버로부터 반도체 기판을 제거하는 일 없이).
몇몇 실시예에서, 별개의 에피택셜층은 상이한 게르마늄 농도 프로파일을 각각 가질 수 있다. 예컨대, 몇몇 실시예에서, 제1 SiGe층이 소스/드레인 리세스의 바닥면에 형성될 수 있다. 제1 SiGe층은 제1 위치에서의 Ge 고농도로부터 제1 위치 위에 있는 제2 위치에서의 Ge 저농도로 변화하는 제1 게르마늄 농도 프로파일을 포함한다. 다음에, 제2 SiGe층이 제1 SiGe층 위에 있는 위치에 형성될 수 있다. 몇몇 실시예에서, 제2 SiGe층은 제3 위치에서의 저농도로부터 제3 위치 위에 있는 제4 위치에서의 고농도로 변화하는 제2 게르마늄 농도 프로파일을 포함할 수 있다. 몇몇 실시예에서, 제3 SiGe층이 제2 SiGe층 상에 형성될 수 있다. 몇몇 실시예에서, 제3 SiGe층은 일정한 게르마늄 농도를 실리콘 게르마늄층 또는 도핑되지 않은 순수 실리콘을 포함하는 실리콘 캡층을 포함할 수 있다.
도 5는 채널 구역 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스를 형성하는 방법(500)의 몇몇의 추가 실시예의 흐름도를 예시한다.
개시된 방법(예컨대, 방법 400과 500)은 일련의 행위 또는 이벤트로서 아래에 예시되고 설명되지만, 그러한 행위 또는 이벤트의 예시된 순서가 제한의 의미에서 해석되어서는 안된다는 것을 알 것이다. 예컨대, 몇몇 행위는 상이한 순서로 및/또는 본 명세서에 예시 및/또는 설명된 것 이외의 다른 행위 또는 이벤트와 동시에 발생할 수 있다. 게다가, 본 명세서의 설명의 하나 이상의 양태 또는 실시예를 실시하기 위해 예시된 모든 행위를 필요로 하지 않을 수 있다. 또한, 본 명세서에 도시된 행위들 중 하나 이상은 하나 이상의 별개의 행위 및/또는 페이즈에서 수행될 수 있다.
502에서, 반도체 기판이 제공된다.
504에서, 반도체 기판 내에 게이트 영역이 형성된다. 몇몇 실시예에서, 게이트 영역은 게이트 산화물 재료, 게이트 전극 재료, 및/또는 반도체 기판 상의 하드 마스크를 갖는 적층형 게이트 구조체를 선택적으로 형성함으로써 형성될 수 있다.
506에서, 포켓 주입이 수행된다. 포켓 주입은 적층형 게이트 구조체에 의해 덮이지 않는 반도체 기판의 영역에 포켓 주입 구역을 형성한다. 포켓 주입 구역은 적층형 게이트 구조체의 아래에 있는 위치로 연장될 수 있다.
508에서, 저농도 도핑 드레인(LDD) 주입이 수행된다. LDD 주입은 적층형 게이트 구조체에 의해 덮이지 않는 반도체 기판의 영역에 LDD 주입 구역을 형성한다.
510에서, 측벽 스페이서가 적층형 게이트 구조체의 대향 측부에 형성된다.
512에서, 반도체 기판은 적층형 게이트 구조체의 대향 측부 상에 소스 및 드레인 리세스를 형성하도록 선택적으로 에칭된다. 몇몇 실시예에서, 복수 개의 에칭 프로세스가 반도체 기판 내에 소스 및 드레인 리세스를 형성하도록 사용될 수 있다.
514에서, 예세척 프로세스가 수행된다. 예세척 프로세스는 소스 및 드레인 리세스 내에 실리콘-게르마늄(SiGe)의 증착 전에 소스 및 드레인 리세스로부터 표면 결함 및/또는 오염물을 제거하도록 구성된다(행위 518-522). 몇몇 실시예에서, 예세척 프로세스는 (예컨대, 불화수소산(HF) 염기를 갖는) 습식 세척 프로세스 또는 (예컨대, 프리-Ni 규화물(SiCoNi) 또는 Certas를 이용하는) 건식 세척 프로세스를 포함할 수 있다.
516에서, 몇몇 실시예에 있어서 다층 SiGe층의 증착과 동시에 고온 어닐링이 수행될 수 있다(행위 518-522). 고온 어닐링은 대략 700℃ 내지 대략 900℃ 범위의 온도 및 대략 10 torr 내지 대략 200 torr의 범위를 갖는 압력에서 유지되는 처리 챔버 내에 대략 30 s(초) 내지 대략 240 s의 시간 동안에 수행될 수 있다.
518에서, 소스 및 드레인 리세스의 바닥면에 제1 실리콘-게르마늄(SiGe)층이 형성된다. 제1 SiGe층은 제1 위치에서의 고농도로부터 제1 위치 위에 있는 제2 위치에서의 저농도로 감소하는 제1 게르마늄(Ge) 농도를 포함한다.
520에서, 제1 SiGe층 위에 있는 위치에 하나 이상의 추가 SiGe층이 형성된다. 몇몇 실시예에서, 하나 이상의 추가 SiGe층은 제1 SiGe층의 Ge 농도보다 큰 최대 Ge 농도를 갖는다.
522에서, 하나 이상의 추가 SiGe층 위에 있는 위치에 최종 SiGe층이 형성된다. 최종 SiGe층은 일정한 Ge 농도를 갖는 실리콘 게르마늄층 또는 도핑되지 않은 순수 실리콘을 포함하는 실리콘 캡층을 포함할 수 있다.
도 6 내지 도 9는 채널 구역 내에 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 채널 트랜지스터 디바이스를 형성하는 방법을 보여주는 반도체 기판의 단면도의 몇몇 실시예를 예시한다. 도 6 내지 도 9는 방법(500)에 관하여 설명하고 있지만, 도 5 내지 도 8에 개시된 구조들이 그러한 방법으로 제한되지 않는다는 것을 알 것이다.
도 6은 행위(502-504)에 대응하는 반도체 기판의 몇몇 실시예의 단면도(600)를 예시한다.
단면도(600)에 도시된 바와 같이, 게이트 구조체가 반도체 기판(102) 상에 형성된다. 게이트 구조체는 반도체 기판(102) 상에 선택적으로 형성되는 게이트 유전체층(110)을 포함한다. 게이트 유전체층(110)은 증착 프로세스(예컨대, 화학 기상 증착, 물리 기상 증착 등)에 의해 또는 열 산화에 의해 형성될 수 있다. 몇몇 실시예에서, 게이트 유전체층(110)은 예컨대 이산화실리콘(SiO2) 또는 하이-k 유전체 재료 등의 절연 재료를 포함할 수 있다.
게이트 전극층(112)이 게이트 유전체층(110) 위에 형성된다. 게이트 유전체층(112)은 증착 프로세스에 의해 증착되는 폴리실리콘 또는 금속 게이트 재료를 포함할 수 있다. 이어서, 하드마스크층(114)이 게이트 전극층(112)과 게이트 유전체층(110) 위에 선택적으로 형성된다. 다음에, 게이트 유전체층(110)과 게이트 전극층(112)은 단면도(600)에 도시된 바와 같이 게이트 영역을 형성하도록 하드마스크층(114)에 따라 에칭된다.
도 7은 행위(506-508)에 따른 반도체 기판의 몇몇 실시예의 단면도(700)를 예시한다.
단면도(700)에 도시된 바와 같이, 하나 이상의 주입(702)이 수행되어 도판트를 반도체 기판(102)으로 도입함으로?써 게이트 구조체들 사이에 배치되는 주입 영역(704)을 형성한다. 몇몇 실시예에서, 하나 이상의 주입(702)은 포켓 주입을 포함할 수 있다. 포켓 주입은 트랜지스터 디바이스의 제어를 통해 관통 방지를 향상시킨다. 다양한 실시예에서, 포켓 주입은 비소(As) 도판트 및/또는 인(P) 도판트를 포함할 수 있다. 몇몇 실시예에서, 포켓 주입은 대략 20 KeV(킬로일렉트론 볼트) 내지 대략 80 KeV 범위를 갖는 에너지로 수행될 수 있는데, 투여량은 대략 1e12 atoms/cm2 내지 대략 1e14 atoms/cm2이며 경사 각도는 대략 15°내지 대략 45°의 범위를 갖는다.
다른 실시예에서, 하나 이상의 주입(702)은 반도체 기판(102) 상에 수행되는 저농도 도핑 드레인(LDD) 주입을 포함할 수 있다. LDD 주입은 SCE(short channel effects) 제어를 향상시킨다. 다양한 실시예에서, LDD 주입은 이불화붕소(BF2) 도판트, 또는 붕소(B) 도판트를 포함할 수 있다. LDD 주입은 대략 1 KeV 내지 대략 10 KeV의 범위를 갖는 에너지, 및 대략 0°내지 대략 30°의 범위를 갖는 경사 각도에서 수행될 수 있는데, 투여량은 대략 1e13 atoms/cm2 내지 대략 1e16 atoms/cm2의 범위를 갖는다.
도 8a 및 도 8b는 행위(510, 512)에 대응하는 반도체 기판의 몇몇 실시예의 단면도(800)를 예시한다. 도 8a 및 도 8b에 도시된 바와 같이, 측벽 스페이서(116)가 게이트 스택 둘레에 형성된다. 몇몇 실시예에서, 측벽 스페이서(116)는 소스 및 드레인 리세스(810a, 810b)의 형성 전에 게이트 구조체의 대향 측부 상에 형성될 수 있다. 몇몇 실시예에서, 측벽 스페이서(116)는 반도체 기판(102) 상에 질화물을 증착하고 측벽 스페이서(116)를 형성하도록 질화물을 선택적으로 에칭함으로써 형성될 수 있다.
이어서, 반도체 기판(102)은 소스 및 드레인 리세스(810a, 810b)를 형성하도록 선택적으로 에칭된다. 몇몇 실시예에서, 소스 및 드레인 리세스(810a, 810b)는 다중 에칭 프로세스에 의해 형성될 수 있다.
예컨대, 단면도(800)에 도시된 바와 같이, 반도체 기판(102)은 등방성 에칭 프로파일(예컨대, U 형상의 에칭 프로파일)을 갖는 리세스(804a, 804b)를 생성하도록 구성되는 등방성 에칭액(802)에 노출될 수 있다. 몇몇 실시예에서, 등방성 에칭액(802)은 건식 에칭액을 포함할 수 있다. 예컨대, 몇몇 실시예에서, 등방성 에칭액(802)은 테트라플루오로메탄(CF4), 염소 가스(Cl2), 삼불화질소(NF3), 육불화황(SF6), 및/또는 헬륨(He)을 비롯한 처리 가스를 이용하는 건식 에칭액을 포함할 수 있다.
단면도(806)에 도시된 바와 같이, 반도체 기판(102)은 이방성 에칭액(808)에 또한 노출될 수 있다. 이방성 에칭액(808)은 또한 이방성 에칭 프로파일을 갖는 소스 및 드레인 리세스(810a, 810b)를 생성하도록 리세스(804a, 804b)를 에칭한다. 몇몇 실시예에서, 소스 및 드레인 리세스(810a, 810b)는 측벽 스페이서(116) 아래에서 연장되는 상단 코너를 가질 수 있다. 다른 실시예에서, 소스 및 드레인 리세스(810a, 810b)은 측벽 스페이서(116)의 외측 에지와 정렬되는 위치에 위치되는 상단 코너를 가질 수 있다. 소스 및 드레인 리세스(810a, 810b)의 상단 코너가 측벽 스페이서(116) 아래에서 연장되는 거리가 클 수록, 스트레인드 채널 MOSFET 상의 스트레인의 양이 커진다.
몇몇 실시예에서, 이방성 에칭액(808)은 습식 에칭액을 포함할 수 있다. 예컨대, 이방성 에칭액(808)은 테트라메틸암모늄 하이드록사이드(TMAH)를 포함할 수 있다. TMAH는 리세스(810a, 810b) 내에 <111> 평면을 생성하여 'V' 형상 또는 다이아몬드 형상의 리세스를 형성한다. 몇몇 실시예에서, 반도체 기판(102)은 대략 20℃ 내지 대략 100℃의 온도에서 유지되는 처리 챔버 내에 1~30%의 농도를 갖는 수용액을 포함하는 TMAH 에칭액에 노출되어 대략 300Å 내지 대략 1000Å의 리세스 깊이를 형성한다.
도 9는 행위(516-518)에 대응하는 반도체 기판의 몇몇 실시예의 단면도(900)를 예시한다.
단면도(900)에 도시된 바와 같이, 다층 SiGe 성장 프로세스는 소스 및 드레인 리세스(810a, 810b) 내에 스트레인 유도 SiGe 재료를 형성하도록 수행된다. 몇몇 실시예에서, 제1 SiGe층(106a)이 소스 및 드레인 리세스(810a, 810b)의 상단 코너를 덮는 위치에서 소스 및 드레인 리세스(810a, 810b)의 바닥면 상에 형성된다. 제1 SiGe층(106a)은 제1 위치에서의 게르마늄 고농도로부터 제1 위치 위에 있는 제2 위치에서의 게르마늄 저농도로 변화하는 구배 게르마늄 농도를 포함한다. 몇몇 실시예에서, 게르마늄 농도는 대략 40%의 초기값으로부터 대략 10%의 값의 범위이다.
몇몇 실시예에서, 제2 SiGe층(106b)이 제1 SiGe층(106a) 상에 형성된다. 제2 SiGe층(106b)은 제3 위치에서의 저농도로부터 제3 위치 위에 있는 제4 위치에서의 고농도로 변화하는 구배 게르마늄 농도 프로파일을 포함한다.
몇몇 실시예에서, 제3 SiGe층(106c)이 제2 SiGe층(106b) 상에 형성된다. 제3 SiGe층(106c)은 일정한 게르마늄 농도를 갖는 실리콘 게르마늄층 또는 도핑되지 않은 순수 실리콘을 포함하는 실리콘 캡층을 포함할 수 있다.
본 명세서에 설명되는 방법론의 양태를 논의할 때에 본 문헌 전체에 걸쳐 예시적인 구조를 참조하였지만, 이들 방법론은 제공된 대응하는 구조에 의해 제한되지 않는다는 것을 알 것이다. 오히려, 방법론(및 구조)은 서로 관계없이 고려되고 자립할 수 있으며 도면에 도시된 임의의 특정한 양태에 상관없이 실시될 수 있다. 게다가, 본 명세서에 설명된 층은 스핀온(spin on), 스퍼터링, 성장 및/또는 증착 기법 등과 같은 임의의 적절한 방식으로 형성될 수 있다.
또한, 본 명세서 및 첨부 도면의 독해 및/또는 이해를 기초로 하여 당업자에게 균등한 변경 및/또는 수정이 일어날 수 있다. 본 명세서의 개시는 그러한 모든 수정 및 변경을 포함하고 일반적으로 그에 의해 제한되도록 의도되지 않는다. 예컨대, 본 명세서에 제공된 도면이 특별한 도핑 타입을 갖는 것으로 예시되고 설명되었지만, 당업자가 아는 바와 같이 대안적인 도핑 타입이 사용될 수 있다는 것을 알 것이다.
게다가, 특별한 특징부 또는 양태가 여러 실시들 중에서 단 하나와 관련하여 개시되었지만, 그러한 특징부 또는 양태는 원할 수 있는 다른 실시의 하나 이상의 다른 특징부 및/또는 양태와 조합될 수 있다. 더욱이, "포함한다", "갖는", "갖는다", "구비한"이란 용어 및/또는 그 파생어가 본 명세서에 사용되는 경우에, 그러한 용어의 의미는 "포함하는"과 같이 포괄적이 되도록 의도된다. 또한, "예시적인"은 최상이 아니라 단순히 예를 의미하도록 의도된다. 또한, 본 명세서에 도시된 특징부, 층 및/또는 요소는 간소화 및 이해의 용이성을 위해 서로에 대해 특별한 치수 및/또는 배향을 갖는 것으로 예시되고, 실제 치수 및/또는 배향은 본 명세서에 예시된 것과 실질적으로 상이할 수 있다는 것을 알아야 한다.
본 개시는 불연속적인 게르마늄 농도 프로파일을 갖는 스트레인드 유도 재료를 포함하는 스트레인드 소스/드레인 구역을 갖는 트랜지스터 디바이스에 관한 것이다.
몇몇 실시예에서, 본 개시는 트랜지스터 디바이스에 관한 것이다. 트랜지스터 디바이스는 트랜지스터 디바이스는 반도체 기판 상에 배치되는 게이트 구조체 및 반도체 기판에 위치된 소스/드레인 리세스 내에서 게이트 구조체에 인접한 위치에 배치되는 스트레인 유도 재료를 포함하는 스트레인드 소스/드레인 구역을 포함한다. 스트레인 유도 재료는 소스/드레인 리세스의 바닥면으로부터 소스/드레인 리세스의 상단면으로 연장되는 라인을 따라 불연속적인 농도 프로파일을 갖는 스트레인 유도 성분을 포함한다.
다른 실시예에서, 본 개시는 트랜지스터 디바이스에 관한 것이다. 트랜지스터 디바이스는 반도체 기판 상에 배치되는 게이트 구조체를 포함한다. 트랜지스터 디바이스는 반도체 기판에서 게이트 구조체 옆에 배치되고, 소스/드레인 리세스의 바닥면으로부터 소스/드레인 리세스의 상단면으로 연장되는 라인을 따라 불연속적인 게르마늄 농도 프로파일을 제공하는 복수 개의 스트레인 유도 실리콘 게르마늄(SiGe)층을 포함하는 소스/드레인 구역을 더 포함한다. 소스/드레인 리세스의 바닥에 인접한 복수 개의 스트레인 유도 SiGe층 중 하나의 층은 제1 위치에서의 게르마늄 고농도로부터 제1 위치 위에 있는 제2 위치에서의 게르마늄 저농도로 변화하는 제1 게르마늄 농도 프로파일을 포함한다.
또 다른 실시예에서, 본 개시는 트랜지스터 디바이스의 형성 방법에 관한 것이다. 상기 방법은 반도체 기판을 제공하는 것을 포함한다. 방법은 반도체 기판 상에 게이트 구조체를 형성하는 것을 더 포함한다. 방법은 게이트 구조체의 측부에 인접한 반도체 기판 내에 소스/드레인 리세스를 형성하는 것을 더 포함한다. 방법은 소스/드레인 리세스 내에 스트레인 유도 재료를 형성하는 것을 포함하고, 스트레인 유도 재료는 소스/드레인 리세스의 바닥면으로부터 소스/드레인 리세스의 상단면으로 연장되는 라인을 따라 불연속적인 농도 프로파일을 갖는 스트레인 유도 성분을 포함한다.

Claims (10)

  1. 트랜지스터 디바이스에 있어서,
    반도체 기판 상에 배치되는 게이트 구조체; 및
    상기 반도체 기판에 위치된 소스/드레인 리세스 내에서 상기 게이트 구조체에 인접한 위치에 배치되는 스트레인 유도 재료를 포함하는 스트레인드 소스/드레인 구역
    을 포함하고,
    상기 스트레인 유도 재료는 상기 소스/드레인 리세스의 바닥면으로부터 상기 소스/드레인 리세스의 상단면으로 연장되는 라인을 따라 불연속적인 농도 프로파일을 갖는 스트레인 유도 성분을 포함하는 것인 트랜지스터 디바이스.
  2. 제1항에 있어서,
    상기 불연속적인 농도 프로파일은 적어도 2개의 불연속부를 포함하는 것인 트랜지스터 디바이스.
  3. 제1항에 있어서,
    상기 스트레인 유도 재료는 실리콘 게르마늄(SiGe)을 포함하고,
    상기 스트레인 유도 성분은 게르마늄(Ge)을 포함하는 것인 트랜지스터 디바이스.
  4. 제1항에 있어서,
    상기 스트레인 유도 재료는 상기 소스/드레인 리세스의 바닥에 인접하고 제1 위치에서의 고농도로부터 상기 제1 위치 위에 있는 제2 위치에서의 저농도로 변화하는 제 1 스트레인 유도 성분 농도 프로파일을 포함하는 제1 별개의 에피택셜층을 포함하는 것인 트랜지스터 디바이스.
  5. 제1항에 있어서,
    상기 스트레인드 소스/드레인 구역은 인접한 층들 사이의 교차점에서 불연속적인, 상이한 게르마늄 농도 프로파일을 각각 구비하는 복수 개의 층들을 포함하는 다층 SiGe 구역을 포함하는 것인 트랜지스터 디바이스.
  6. 제1항에 있어서,
    상기 스트레인 유도 성분은 <111> 표면을 포함하는 상기 스트레인드 소스/드레인 구역의 제2 바닥면에 수직으로(normal to) 연장되는 제2 라인이 아니라 <100> 표면을 포함하는 상기 스트레인드 소스/드레인 구역의 제1 바닥면에 수직으로 연장되는 제1 라인을 따라 상이한 도핑 농도 프로파일을 갖는 것인 트랜지스터 디바이스.
  7. 제1항에 있어서,
    상기 스트레인드 소스/드레인 구역은,
    상기 소스/드레인 리세스의 바닥면 상에 배치되고, 제1 게르마늄 농도 프로파일을 갖는 제1 실리콘-게르마늄(SiGe)층;
    상기 제1 SiGe층 상에 배치되고, 상기 제1 게르마늄 농도 프로파일과 불연속적인 제2 게르마늄 농도 프로파일을 갖는 제2 SiGec층; 및
    상기 제2 SiGe층 상에 배치되고, 상기 제2 SiGe층의 최대 게르마늄 농도보다 작은 제3 게르마늄 농도 프로파일을 갖는 제3 SiGe층
    을 포함하는 트랜지스터 디바이스.
  8. 제6항에 있어서,
    상기 제3 SiGe층은 도핑되지 않은 순수 실리콘을 포함하는 실리콘 캡층을 포함하는 것인 트랜지스터 디바이스.
  9. 트랜지스터 디바이스에 있어서,
    반도체 기판 상에 배치되는 게이트 구조체;
    상기 반도체 기판에서 게이트 구조체 옆에 배치되고, 소스/드레인 리세스의 바닥면으로부터 소스/드레인 리세스의 상단면으로 연장되는 라인을 따라 불연속적인 게르마늄 농도 프로파일을 제공하는 복수 개의 스트레인 유도 실리콘 게르마늄(SiGe)층을 포함하는 소스/드레인 구역
    을 포함하고,
    상기 소스/드레인 리세스의 바닥에 인접한 복수 개의 스트레인 유도 SiGe층 중 하나의 층은 제1 위치에서의 게르마늄 고농도로부터 상기 제1 위치 위에 있는 제2 위치에서의 게르마늄 저농도로 변화하는 제1 게르마늄 농도 프로파일을 포함하는 것인 트랜지스터 디바이스.
  10. 트랜지스터 디바이스의 형성 방법에 있어서,
    반도체 기판을 제공하는 것;
    상기 반도체 기판 상에 게이트 구조체를 형성하는 것;
    상기 게이트 구조체의 측부에 인접한 반도체 기판 내에 소스/드레인 리세스를 형성하는 것; 및
    상기 소스/드레인 리세스 내에 스트레인 유도 재료를 형성하는 것
    을 포함하고,
    상기 스트레인 유도 재료는 상기 소스/드레인 리세스의 바닥면으로부터 상기 소스/드레인 리세스의 상단면으로 연장되는 라인을 따라 불연속적인 농도 프로파일을 갖는 스트레인 유도 성분을 포함하는 것인 트랜지스터 디바이스의 형성 방법.
KR1020140167747A 2013-12-19 2014-11-27 채널 스트레인용 게르마늄 프로파일을 포함하는 트랜지스터 디바이스 및 그 형성방법 KR101706429B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/134,302 US9691898B2 (en) 2013-12-19 2013-12-19 Germanium profile for channel strain
US14/134,302 2013-12-19

Publications (2)

Publication Number Publication Date
KR20150072333A true KR20150072333A (ko) 2015-06-29
KR101706429B1 KR101706429B1 (ko) 2017-02-13

Family

ID=53400992

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140167747A KR101706429B1 (ko) 2013-12-19 2014-11-27 채널 스트레인용 게르마늄 프로파일을 포함하는 트랜지스터 디바이스 및 그 형성방법

Country Status (3)

Country Link
US (4) US9691898B2 (ko)
KR (1) KR101706429B1 (ko)
CN (1) CN104733513B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200138679A (ko) * 2019-05-31 2020-12-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 변형된 소스/드레인 피처를 위한 최적화된 근접 프로파일 및 이를 제조하는 방법
US11489062B2 (en) 2019-05-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd Optimized proximity profile for strained source/drain feature and method of fabricating thereof

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9847225B2 (en) * 2011-11-15 2017-12-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US9691898B2 (en) * 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US9214551B2 (en) * 2014-02-19 2015-12-15 United Microelectronics Corp. Method for fabricating semiconductor device, and semiconductor device made thereby
US9893183B2 (en) * 2014-07-10 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and manufacturing method thereof
KR102202754B1 (ko) * 2014-08-14 2021-01-15 삼성전자주식회사 반도체 장치
US9680014B2 (en) * 2015-04-17 2017-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin structures and manufacturing method thereof
CN105244263A (zh) * 2015-10-21 2016-01-13 上海集成电路研发中心有限公司 一种提高SiGe源/漏区质量的制造方法
US9793407B2 (en) * 2015-12-15 2017-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor
US9831343B2 (en) * 2015-12-30 2017-11-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having NFET structure and method of fabricating the same
WO2019005111A1 (en) * 2017-06-30 2019-01-03 Intel Corporation VARIOUS TRANSISTOR CHANNEL MATERIALS ACTIVATED BY A REVERSE GRADIENT GERMANIUM THIN LAYER
CN109817713B (zh) * 2017-11-22 2022-04-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US10453940B1 (en) 2018-06-26 2019-10-22 International Business Machines Corporation Vertical field effect transistor with strained channel region extension
KR20210046915A (ko) 2019-10-18 2021-04-29 삼성전자주식회사 반도체 소자
CN113611736B (zh) * 2020-05-29 2022-11-22 联芯集成电路制造(厦门)有限公司 半导体元件及其制作方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008177319A (ja) * 2007-01-18 2008-07-31 Sony Corp 半導体装置の製造方法および半導体装置
JP2008218725A (ja) * 2007-03-05 2008-09-18 Renesas Technology Corp 半導体装置とその製造方法
US20120138897A1 (en) * 2010-12-03 2012-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility and method for manufacturing same
KR20130045716A (ko) * 2011-10-26 2013-05-06 삼성전자주식회사 반도체 소자 및 그 제조 방법

Family Cites Families (60)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4222062A (en) * 1976-05-04 1980-09-09 American Microsystems, Inc. VMOS Floating gate memory device
US4145703A (en) * 1977-04-15 1979-03-20 Supertex, Inc. High power MOS device and fabrication method therefor
DE2737073C3 (de) * 1977-08-17 1981-09-17 Siemens AG, 1000 Berlin und 8000 München Verfahren zum Herstellen eines Isolierschicht-Feldeffekttransistors für eine Ein-Transistor-Speicherzelle
US4173765A (en) * 1978-05-26 1979-11-06 Eastman Kodak Company V-MOS imaging array
US4222063A (en) * 1978-05-30 1980-09-09 American Microsystems VMOS Floating gate memory with breakdown voltage lowering region
US4214312A (en) * 1979-01-08 1980-07-22 American Microsystems, Inc. VMOS Field aligned dynamic ram cell
US4407058A (en) * 1981-05-22 1983-10-04 International Business Machines Corporation Method of making dense vertical FET's
JP4391069B2 (ja) * 2002-04-30 2009-12-24 富士通マイクロエレクトロニクス株式会社 ヘテロバイポーラトランジスタおよびその製造方法
US6921913B2 (en) * 2003-03-04 2005-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel transistor structure with lattice-mismatched zone
WO2005006444A1 (ja) * 2003-07-11 2005-01-20 Matsushita Electric Industrial Co., Ltd. ヘテロバイポーラトランジスタおよびその製造方法
US7078742B2 (en) * 2003-07-25 2006-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Strained-channel semiconductor structure and method of fabricating the same
WO2005036631A1 (en) * 2003-10-03 2005-04-21 Spinnaker Semiconductor, Inc. Schottky-barrier mosfet manufacturing method using isotropic etch process
JP4837902B2 (ja) * 2004-06-24 2011-12-14 富士通セミコンダクター株式会社 半導体装置
US7883979B2 (en) 2004-10-26 2011-02-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing a semiconductor device with reduced floating body effect
JP4369359B2 (ja) * 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7238580B2 (en) 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
TW200707640A (en) 2005-03-18 2007-02-16 Applied Materials Inc Contact metallization scheme using a barrier layer over a silicide layer
US7494858B2 (en) 2005-06-30 2009-02-24 Intel Corporation Transistor with improved tip profile and method of manufacture thereof
US7544577B2 (en) 2005-08-26 2009-06-09 International Business Machines Corporation Mobility enhancement in SiGe heterojunction bipolar transistors
DE102005051994B4 (de) * 2005-10-31 2011-12-01 Globalfoundries Inc. Verformungsverfahrenstechnik in Transistoren auf Siliziumbasis unter Anwendung eingebetteter Halbleiterschichten mit Atomen mit einem großen kovalenten Radius
US7608515B2 (en) * 2006-02-14 2009-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion layer for stressed semiconductor devices
US20070210448A1 (en) 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
US7618866B2 (en) 2006-06-09 2009-11-17 International Business Machines Corporation Structure and method to form multilayer embedded stressors
KR100746232B1 (ko) * 2006-08-25 2007-08-03 삼성전자주식회사 스트레인드 채널을 갖는 모스 트랜지스터 및 그 제조방법
US7544997B2 (en) * 2007-02-16 2009-06-09 Freescale Semiconductor, Inc. Multi-layer source/drain stressor
US20080217686A1 (en) 2007-03-09 2008-09-11 International Business Machines Corporation Ultra-thin soi cmos with raised epitaxial source and drain and embedded sige pfet extension
US7553717B2 (en) 2007-05-11 2009-06-30 Texas Instruments Incorporated Recess etch for epitaxial SiGe
US8450165B2 (en) * 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
CN101572246B (zh) 2008-04-28 2011-11-30 中芯国际集成电路制造(北京)有限公司 电阻存储器、含有电阻存储器的集成电路的制作方法
US8679970B2 (en) 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
DE102008049733B3 (de) * 2008-09-30 2010-06-17 Advanced Micro Devices, Inc., Sunnyvale Transistor mit eingebettetem Si/Ge-Material mit geringerem Abstand zum Kanalgebiet und Verfahren zur Herstellung des Transistors
DE102009015748B4 (de) * 2009-03-31 2014-05-22 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verringern des Silizidwiderstands in SiGe-enthaltenden Drain/Source-Gebieten von Transistoren
KR101050405B1 (ko) * 2009-07-03 2011-07-19 주식회사 하이닉스반도체 스트레인드채널을 갖는 반도체장치 제조 방법
JP5287621B2 (ja) * 2009-09-10 2013-09-11 富士通セミコンダクター株式会社 半導体装置
US20110127614A1 (en) * 2009-11-30 2011-06-02 Thilo Scheiper Reducing the series resistance in sophisticated transistors by embedding metal silicide contact regions reliably into highly doped semiconductor material
JP5614184B2 (ja) * 2010-09-06 2014-10-29 富士通セミコンダクター株式会社 半導体装置の製造方法
US8901537B2 (en) 2010-12-21 2014-12-02 Intel Corporation Transistors with high concentration of boron doped germanium
US8455930B2 (en) * 2011-01-05 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Strained semiconductor device with facets
US8796788B2 (en) 2011-01-19 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices with strained source/drain structures
US8835982B2 (en) * 2011-02-14 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing strained source/drain structures
KR20120099863A (ko) * 2011-03-02 2012-09-12 삼성전자주식회사 트랜지스터 및 그 제조 방법
KR101852342B1 (ko) * 2011-03-23 2018-04-27 삼성전자주식회사 반도체 소자 및 그의 제조방법
US8482079B2 (en) * 2011-06-15 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US20130069172A1 (en) 2011-09-16 2013-03-21 United Microelectronics Corp. Semiconductor device and method for fabricating the same
US9142642B2 (en) * 2012-02-10 2015-09-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus for doped SiGe source/drain stressor deposition
US9111939B2 (en) 2012-07-27 2015-08-18 Intel Corporation Metallization of fluorocarbon-based dielectric for interconnects
US8710632B2 (en) * 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
KR20140039544A (ko) * 2012-09-24 2014-04-02 삼성전자주식회사 반도체 소자 및 그 제조 방법
US8940594B2 (en) * 2012-12-24 2015-01-27 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device having v-shaped region
US9064893B2 (en) * 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9293534B2 (en) * 2014-03-21 2016-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of dislocations in source and drain regions of FinFET devices
US9142672B2 (en) * 2013-09-10 2015-09-22 Taiwan Semiconductor Manufacturing Co., Ltd Strained source and drain (SSD) structure and method for forming the same
US9691868B2 (en) * 2013-11-22 2017-06-27 Qualcomm Incorporated Merging lithography processes for gate patterning
US9691898B2 (en) * 2013-12-19 2017-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium profile for channel strain
US9543410B2 (en) * 2014-02-14 2017-01-10 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and formation thereof
US9287398B2 (en) * 2014-02-14 2016-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor strain-inducing scheme
US9812570B2 (en) * 2015-06-30 2017-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10032873B2 (en) * 2015-09-15 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US9748386B2 (en) * 2015-10-26 2017-08-29 United Microelectronics Corp. Epitaxial structure of semiconductor device and manufacturing method thereof
US10170555B1 (en) * 2017-06-30 2019-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Intermetallic doping film with diffusion in source/drain

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008177319A (ja) * 2007-01-18 2008-07-31 Sony Corp 半導体装置の製造方法および半導体装置
JP2008218725A (ja) * 2007-03-05 2008-09-18 Renesas Technology Corp 半導体装置とその製造方法
US20120138897A1 (en) * 2010-12-03 2012-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stressor having enhanced carrier mobility and method for manufacturing same
KR20130045716A (ko) * 2011-10-26 2013-05-06 삼성전자주식회사 반도체 소자 및 그 제조 방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200138679A (ko) * 2019-05-31 2020-12-10 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 변형된 소스/드레인 피처를 위한 최적화된 근접 프로파일 및 이를 제조하는 방법
US11489062B2 (en) 2019-05-31 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd Optimized proximity profile for strained source/drain feature and method of fabricating thereof
US11824102B2 (en) 2019-05-31 2023-11-21 Taiwan Semiconductor Manufacturing Co., Ltd Optimized proximity profile for strained source/drain feature and method of fabricating thereof

Also Published As

Publication number Publication date
US20150179796A1 (en) 2015-06-25
CN104733513A (zh) 2015-06-24
US10861971B2 (en) 2020-12-08
US20170243975A1 (en) 2017-08-24
CN104733513B (zh) 2018-06-15
US11749752B2 (en) 2023-09-05
US20210119048A1 (en) 2021-04-22
US9691898B2 (en) 2017-06-27
KR101706429B1 (ko) 2017-02-13
US20230369491A1 (en) 2023-11-16

Similar Documents

Publication Publication Date Title
KR101706429B1 (ko) 채널 스트레인용 게르마늄 프로파일을 포함하는 트랜지스터 디바이스 및 그 형성방법
US9991364B2 (en) Transistor strain-inducing scheme
US10032910B2 (en) FinFET devices having asymmetrical epitaxially-grown source and drain regions and methods of forming the same
KR101558061B1 (ko) Finfet들 및 그 형성 방법
US8674447B2 (en) Transistor with improved sigma-shaped embedded stressor and method of formation
EP3312876A1 (en) Finfet device and fabrication method thereof
TW201334184A (zh) 半導體元件與其形成方法及p型金氧半電晶體
US9691901B2 (en) Semiconductor device
CN103956338A (zh) 一种集成u形沟道器件和鳍形沟道器件的集成电路及其制备方法
US20160111322A1 (en) Finfet semiconductor device having local buried oxide
US10319856B2 (en) Semiconductor device
US10361305B2 (en) Semiconductor structure and fabrication method thereof
US10607891B2 (en) Manufacturing method of semiconductor device
US20160284800A1 (en) Epitaxial channel with a counter-halo implant to improve analog gain
US9397190B2 (en) Fabrication method of semiconductor structure
CN106571338B (zh) 半导体结构及其形成方法
KR20140122644A (ko) 핀펫 및 그 제조 방법
Qin et al. Process optimizations to recessed e-SiGe source/drain for performance enhancement in 22 nm all-last high-k/metal-gate pMOSFETs
CN104347506A (zh) 一种制作半导体器件的方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200129

Year of fee payment: 4