KR20140128955A - 정전 척 장치 - Google Patents

정전 척 장치 Download PDF

Info

Publication number
KR20140128955A
KR20140128955A KR1020147018738A KR20147018738A KR20140128955A KR 20140128955 A KR20140128955 A KR 20140128955A KR 1020147018738 A KR1020147018738 A KR 1020147018738A KR 20147018738 A KR20147018738 A KR 20147018738A KR 20140128955 A KR20140128955 A KR 20140128955A
Authority
KR
South Korea
Prior art keywords
adhesive layer
electrostatic chuck
insulator
cooling
chuck portion
Prior art date
Application number
KR1020147018738A
Other languages
English (en)
Other versions
KR101902349B1 (ko
Inventor
야스하루 사사키
가오루 오오하시
도모유키 다카하시
다다시 아오토
마모루 고사카이
신이치 마에타
유키오 미우라
다카시 사토
게이 후루우치
Original Assignee
스미토모 오사카 세멘토 가부시키가이샤
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 스미토모 오사카 세멘토 가부시키가이샤, 도쿄엘렉트론가부시키가이샤 filed Critical 스미토모 오사카 세멘토 가부시키가이샤
Publication of KR20140128955A publication Critical patent/KR20140128955A/ko
Application granted granted Critical
Publication of KR101902349B1 publication Critical patent/KR101902349B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Abstract

상면을 판 형상 시료를 재치하는 재치면으로 함과 함께 정전 흡착용 내부 전극을 내장한 정전 척부와, 정전 척부를 냉각하는 냉각 베이스부를 구비하고, 정전 척부와 냉각 베이스부는 접착층을 통하여 접착 일체화되며, 정전 척부 및 냉각 베이스부에 형성된 냉각용 가스 구멍에, 절연애자와, 절연애자의 외주부에 동축적으로 설치된 절연애자로 이루어지는 2중관 구조의 절연애자를, 냉각용 가스 구멍측의 접착층의 노출면을 덮도록 설치하였다.

Description

정전 척 장치{ELECTROSTATIC CHUCK DEVICE}
본 발명은, 정전 척 장치에 관한 것으로서, 한층 더 상세하게는, 전극에 고주파를 인가하여 플라즈마를 생성하고, 이 플라즈마에 의해 반도체 웨이퍼 등의 판 형상 시료에 플라즈마 에칭 등의 플라즈마 처리를 실시하는 고주파 방전 방식의 플라즈마 처리 장치에 이용하기 알맞은 정전 척 장치에 관한 것이다.
본원은, 2012년 2월 8일에, 일본에 출원된 특허출원 특원2012-025032호에 기초하여 우선권을 주장하고, 그 내용을 여기에 원용한다.
최근, 급속하게 진전되는 IT기술을 지지하는 반도체 산업에 있어서는, 소자의 고집적화나 고성능화가 요구되어지고 있고, 그 때문에, 반도체 제조 프로세스에 있어서도 미세 가공 기술의 향상이 더욱더 요구되어지고 있다. 이 반도체 제조 프로세스 중에서도 에칭 기술은, 미세 가공 기술의 중요한 하나이며, 최근에는, 에칭 기술 내에서도, 고효율 또한 대면적의 미세 가공이 가능한 플라즈마 에칭 기술이 주류로 되어 있다.
이 플라즈마 에칭 기술은 드라이 에칭 기술의 일종이다. 이 기술에 있어서는, 가공 대상이 되는 고체 재료 위에 레지스트로 마스크 패턴을 형성하고, 이 고체 재료를 진공 중에 지지한 상태에서, 이 진공 중에 반응성 가스를 도입하고, 이 반응성 가스에 고주파의 전계를 인가한다. 이것에 의해, 가속된 전자가 가스 분자와 충돌하여 플라즈마 상태가 되고, 이 플라즈마로부터 발생하는 라디칼(프리라디칼)과 이온을 고체 재료와 반응시켜 반응 생성물로서 제거한다. 그 결과, 고체 재료에 미세 패턴을 형성한다.
한편, 원료 가스를 플라즈마의 움직임으로 화합(化合)시켜, 얻어진 화합물을 기판 위에 퇴적시키는 박막 성장 기술의 하나로서 플라스마 CVD법이 있다. 이 방법은, 원료 분자를 포함하는 가스에 고주파의 전계를 인가함으로써 플라즈마를 방전시키고, 이 플라즈마 방전에서 가속된 전자에 의해 원료 분자를 분해시켜, 얻어진 화합물을 퇴적시키는 성막 방법이다. 저온에서는 열적 여기만으로는 일어나지 않았던 반응도, 플라즈마 중에서는, 계(系) 내의 가스가 서로 충돌하고 활성화되어 라디칼이 되므로, 가능해진다.
플라즈마 에칭 장치, 플라스마 CVD 장치 등의 플라즈마를 이용한 반도체 제조 장치에 있어서는, 종래부터, 시료대에 간단하게 웨이퍼를 장착하고, 고정함과 함께, 이 웨이퍼를 원하는 온도로 유지하는 장치로서 정전 척 장치가 사용되어져 있다.
이와 같은 정전 척 장치로서는, 예를 들면, 세라믹 기체의 상면을 웨이퍼 등의 판 형상 시료를 재치하는 재치면으로 하고, 내부에 정전 흡착용의 판 형상 전극을 매설한 정전 척부와, 내부에 냉각수 순환용의 냉매 유로가 형성된 냉각 베이스부를 접착층에서 접합 일체화하고, 정전 척부 및 냉각 베이스부를 관통하도록 냉각용 가스 구멍이 형성된 정전 척 장치가 제안되어, 실용에 제공되어져 있다(특허문헌 1).
일본국 공개특허 특개2004-31665호 공보
상술한 종래의 정전 척 장치에서는, 정전 척부와 냉각 베이스부를 접합 일체화하고 있는 접착층에, 플라즈마나 라디칼(프리라디칼)이 가스 유동용 구멍으로부터 진입하여, 이 접착층을 침식할 우려가 있었다.
이 접착층이 플라즈마나 라디칼(프리라디칼)에 의해 침식된 경우, 이 접착층의 접착 강도가 저하하고, 그 결과, 정전 척부가 냉각 베이스부로부터 박리될 우려가 있다는 문제점이 있었다.
그래서, 접착층이 플라즈마나 라디칼(프리라디칼)에 의해 침식되는 것을 방지하기 위해, 이 접착층의 두께를 얇게 하는 것이 생각되어지나, 접착층의 두께를 얇게 하면, 정전 척부의 내(耐)전압이 저하할 우려가 있다는 문제점이 생긴다.
또한, 이 정전 척부는, 다른 정전 척 장치의 정전 척부와 비교하여 접착층의 두께가 얇고, 냉각용 가스 분출부의 유속이 빠르며, 게다가, 냉각 가스의 열전달이 크기 때문에, 이 냉각용 가스 구멍의 부근의 온도가 저하한다는 문제점도 있었다.
본 발명은, 상기의 사정을 감안하여 이루어진 것으로서, 플라즈마 에칭 장치 등의 처리 장치에 적용한 경우에, 정전 척부와 냉각 베이스부의 사이에 설치된 접착층을 플라즈마나 라디칼(프리라디칼)로부터 보호한다. 이것에 의해, 본 발명은, 정전 척부에 있어서의 내전압을 향상시킬 수 있으며, 나아가서는, 냉각용 기체 구멍의 부근의 온도가 저하할 우려가 없는 정전 척 장치를 제공하는 것을 목적으로 한다.
(1) 판 형상 시료를 재치하는 재치면을 가짐과 함께 정전 흡착용 내부 전극을 내장하여 이루어지는 정전 척부와, 상기 정전 척부를 냉각하는 냉각 베이스부를 구비하고,
상기 정전 척부와 상기 냉각 베이스부는 제 1 접착층을 통하여 접착 일체화되며,
상기 정전 척부 및 상기 냉각 베이스부에는, 이들을 관통하는 냉각용 기체 구멍 및/또는 상기 판 형상 시료를 착탈하는 핀을 삽입하는 핀 삽입용 구멍이 형성되고,
상기 냉각용 기체 구멍 및 상기 핀 삽입용 구멍 중 어느 일방 또는 쌍방에, 제 1 절연애자와, 상기 제 1 절연애자의 외주부에 동축(同軸)적으로 설치된 제 2 절연애자로 이루어지는 2중관 구조의 절연애자를, 적어도 상기 제 1 접착층의 노출면을 덮도록 설치하여 이루어지는 정전 척 장치.
(2) 상기 제 1 절연애자의 상단부는, 얇은 두께의 제 2 접착층을 통하여 상기 정전 척부에 접착되어 있는 상기 (1)항에 기재된 정전 척 장치.
(3) 상기 제 2 절연애자의 상단부는, 상기 제 1 절연애자의 상단부보다 하방에 위치하고, 또한 상기 제 1 접착층에 의해 덮여 있는 상기 (1) 또는 (2)항에 기재된 정전 척 장치.
(4) 상기 제 1 접착층은, 열경화성 접착제를 경화하여 이루어지는 상기 (1) 내지 (3)항 중 어느 한 항에 기재된 정전 척 장치.
(5) 상기 제 1 절연애자는, 상기 냉각 베이스부와 상기 제 1 접착층의 열팽창 차이에 의해 생기는 응력에 의해, 상기 제 2 접착층을 통하여 상기 정전 척부에 가압되어 있는 상기 (1) 내지 (4)항 중 어느 한 항에 기재된 정전 척 장치.
본 발명의 정전 척 장치에 의하면, 냉각용 기체 구멍 및 핀 삽입용 구멍 중 어느 일방 또는 쌍방에, 제 1 절연애자와, 상기 제 1 절연애자의 외주부에 동축적으로 설치된 제 2 절연애자로 이루어지는 2중관 구조의 절연애자를, 적어도 제 1 접착층의 노출면을 덮도록 설치하였다. 이것에 의해, 이 2중관 구조의 절연애자에 의해, 접착층을 외부로부터 침입해 오는 플라즈마나 라디칼(프리라디칼)로부터 보호할 수 있고, 그 결과, 정전 척부에 있어서의 내전압을 향상시킬 수 있다.
또한, 이 냉각용 기체 구멍 및 핀 삽입용 구멍 중 어느 일방 또는 쌍방의 내면을 2중관 구조의 절연애자에 의해 단열 상태로 보호하고 있으므로, 냉각용 기체 구멍 내 및 핀 삽입용 구멍 내 중 어느 일방 또는 쌍방을 헬륨 등의 냉각용 기체가 흐른 경우에 있어서도, 이 냉각용 기체 구멍의 부근의 온도가 저하할 우려가 없어진다. 따라서, 정전 척부의 온도를 안정화시킬 수 있다.
제 1 절연애자의 상단부를, 두께가 얇은 제 2 접착층을 통하여 정전 척부에 접착시켰으므로, 제 1 절연애자와 정전 척부의 사이에 개재하는 제 2 접착층의 두께를 극히 얇게 할 수 있고, 그 결과, 제 1 접착층으로 플라즈마나 라디칼(프리라디칼)이 진입하는 것을 방지할 수 있다.
또한, 제 2 절연애자의 상단부를, 제 1 절연애자의 상단부보다 하방에 위치시킴과 함께, 제 1 접착층에 의해 덮었기 때문에, 제 2 절연애자와 정전 척부의 사이의 접착층의 두께를 확보할 수 있다.
제 1 접착층을, 열경화성 접착제를 경화하여 이루어지는 것으로 하였기 때문에, 정전 척부와 냉각 베이스부의 사이의 접착성을 장기간에 걸쳐 계속하여 유지할 수 있다.
또한, 제 1 절연애자를, 냉각 베이스부와 제 1 접착층의 열팽창 차이에 의해 생기는 응력에 의해, 제 2 접착층을 통하여 정전 척부에 가압시키는 것으로 하였기 때문에, 제 1 절연애자를 정전 척부에 밀착시킬 수 있어, 제 1 절연애자가 정전 척부로부터 빠질 우려가 없다.
도 1은, 본 발명의 일실시 형태의 정전 척 장치를 나타낸 단면도이다.
도 2는, 도 1의 정전 척 장치의 A부분의 단면을 나타낸 단면도이다.
본 발명자들은, 상기 과제를 해결하기 위해 예의 검토한 결과, 판 형상 시료를 재치하는 재치면을 가짐과 함께 정전 흡착용 내부 전극을 내장하여 이루어지는 정전 척부와, 정전 척부를 냉각하는 냉각 베이스부를 구비하고, 정전 척부와 냉각 베이스부는 제 1 접착층을 통하여 접착 일체화되며, 정전 척부 및 냉각 베이스부에는, 이들을 관통하는 냉각용 기체 구멍 및/또는 판 형상 시료를 착탈하는 핀을 삽입하는 핀 삽입용 구멍이 형성된 정전 척 장치의 냉각용 기체 구멍 및 상기 핀 삽입용 구멍 중 어느 일방 또는 쌍방에, 제 1 절연애자와, 제 1 절연애자의 외주부에 동축적으로 설치된 제 2 절연애자로 이루어지는 2중관 구조의 절연애자를, 적어도 제 1 접착층의 노출면을 덮도록 설치한 구성으로 하면, 이 2중관 구조의 절연애자에 의해 제 1 접착층을 플라즈마나 라디칼(프리라디칼)로부터 보호할 수 있고, 따라서, 정전 척부에 있어서의 내전압을 향상시킬 수 있으며, 나아가서는, 냉각용 기체 구멍을 2중관 구조의 절연애자로 열적으로 차폐함으로써, 이 냉각용 기체 구멍의 부근의 온도가 저하할 우려도 없다는 것을 지견하고, 본 발명을 완성하기에 이르렀다.
이 정전 척 장치에서는, 제 1 절연애자와, 상기 제 1 절연애자의 외주부에 동축적으로 설치된 제 2 절연애자로 이루어지는 2중관 구조의 절연애자를, 냉각용 기체 구멍 및 핀 삽입용 구멍 중 어느 일방 또는 쌍방에, 적어도 제 1 접착층의 노출면을 덮도록 설치함으로써, 이 2중관 구조의 절연애자에 의해, 제 1 접착층을 외부로부터 침입해 오는 플라즈마나 라디칼(프리라디칼)로부터 보호한다.
따라서, 제 1 접착층은, 플라즈마나 라디칼(프리라디칼)이 진입할 우려가 없어지며, 접착층으로서의 접착 기능을 계속하여 유지하는 것이 가능하게 된다. 그 결과, 정전 척부에 있어서의 내전압을 향상시키는 것이 가능하게 된다. 또한, 냉각용 기체 구멍 내를 헬륨 등의 냉각용 가스가 흐른 경우에 있어서도, 이 냉각용 기체 구멍 및 핀 삽입용 구멍 중 어느 일방 또는 쌍방의 내면이 2중관 구조의 절연애자에 의해 단열 상태로 보호됨으로써, 이 냉각용 기체 구멍 및 핀 삽입용 구멍 중 어느 일방 또는 쌍방의 부근의 온도가 저하할 우려가 없어진다. 이것에 의해, 정전 척부의 온도가 안정화된다.
이 정전 척 장치에서는, 제 1 절연애자의 상단부를, 두께가 얇은 제 2 접착층을 통하여 정전 척부에 접착시킴으로써, 제 1 절연애자와 정전 척부의 사이에 개재하는 제 2 접착층의 두께가 극히 얇아진다. 이것에 의해, 제 1 접착층으로 플라즈마나 라디칼(프리라디칼)이 진입하는 것을 방지한다.
이 정전 척 장치에서는, 제 2 절연애자의 상단부를, 제 1 절연애자의 상단부보다 하방에 위치시킴과 함께, 제 1 접착층에 의해 덮인 구성으로 함으로써, 제 2 절연애자와 정전 척부의 사이의 접착층의 두께를 확보한다.
이 정전 척 장치에서는, 제 1 접착층을, 열경화성 접착제를 경화하여 이루어지는 것으로 하였으므로, 정전 척부와 냉각 베이스부의 사이의 접착성을 장기간에 걸쳐 계속하여 유지하는 것이 가능하게 된다.
이 정전 척 장치에서는, 제 1 절연애자를, 냉각 베이스부와 제 1 접착층의 열팽창 차이에 의해 생기는 응력에 의해, 제 2 접착층을 통하여 정전 척부에 가압시킨다. 이것에 의해, 제 1 절연애자는 정전 척부에 밀착하게 되어, 제 1 절연애자가 정전 척부로부터 빠질 우려가 없다.
본 발명의 정전 척 장치를 실시하기 위한 형태에 대하여 설명한다.
이하의 각 실시형태는, 발명의 취지를 보다 좋게 이해시키기 위해 구체적으로 설명하는 것이며, 특별하게 지정이 없는 한, 본 발명을 한정하는 것은 아니다. 본 발명의 취지를 일탈하지 않는 범위에서, 구성의 부가, 생략, 치환 및 그 외의 변경이 가능하다.
도 1은, 본 발명의 일실시 형태의 정전 척 장치를 나타낸 단면도, 도 2는, 동(同) 정전 척 장치의 A부분의 단면을 나타낸 단면도이며, 이 정전 척 장치(1)는, 정전 척부(2)와 냉각 베이스부(3)가 (제 1)접착층(4)에 의해 접착 일체화되어 있다.
정전 척부(2)는, 상면(일주면)을 반도체 웨이퍼 등의 판 형상 시료(W)를 재치하기 위한 재치면으로 된 원 형상의 재치판(11)과, 이 재치판(11)의 하면(다른 일주면)측에 대향 배치된 원 형상의 지지판(12)과, 이들 재치판(11)과 지지판(12)의 사이에 협지되어 재치판(11) 및 지지판(12)보다 직경이 작은 원 형상의 정전 흡착용 내부 전극(13)과, 이 정전 흡착용 내부 전극(13)의 주연부를 둘러싸도록 설치된 절연재층(14)과, 이 정전 흡착용 내부 전극(13)의 하면에 접속되어 직류 전압을 인가하는 급전용 단자(15)에 의해 구성되어 있다.
재치판(11) 및 지지판(12)은, 모두 내열성을 가지는 세라믹이 바람직하며, 이 세라믹으로서는, 질화 알루미늄(AlN), 산화 알루미늄(Al2O3), 질화 규소(Si3N4), 산화 지르코늄(ZrO2), 사이알론, 질화 붕소(BN), 탄화 규소(SiC)로부터 선택된 1종으로 이루어지는 세라믹, 혹은 2종 이상을 포함하는 복합 세라믹이 바람직하다.
특히, 재치판(11)은, 상면측이 반도체 웨이퍼 등의 판 형상 시료(W)를 재치하는 정전 흡착면이 되기 때문에, 특히 유전율이 높은 재질이며, 정전 흡착하는 판 형상 시료(W)에 대하여 불순물로 되지 않는 것을 선택하는 것이 바람직하다. 예를 들면, 탄화 규소를 4중량% 이상 또한 20중량% 이하 포함하고, 잔부를 산화 알루미늄으로 하는 탄화 규소-산화 알루미늄 복합 소결체가 바람직하다.
이 재치판(11)의 정전 흡착면에는, 직경이 판 형상 시료(W)의 두께보다 작은 돌기부(16)가 복수개 형성되고, 이들의 돌기부(16)가 판 형상 시료(W)를 지지하는 구성으로 되어 있다.
정전 흡착용 내부 전극(13)은, 두께가 10㎛∼50㎛ 정도의 평판 형상의 도전성 세라믹이 이용된다. 이 정전 흡착용 내부 전극(13)을 구성하는 도전성 세라믹의 사용 온도하에 있어서의 체적 고유 저항값은, 1.0×106Ω·㎝ 이하가 바람직하고, 보다 바람직하게는 1.0×104Ω·㎝ 이하이다. 이 도전성 세라믹으로서는, 탄화 규소(SiC)-산화 알루미늄(Al2O3) 복합 소결체, 질화 탄탈(TaN)-산화 알루미늄(Al2O3) 복합 소결체, 탄화 탄탈(TaC)-산화 알루미늄(Al2O3) 복합 소결체, 탄화 몰리브덴(Mo2C)-산화 알루미늄(Al2O3) 복합 소결체 등을 들 수 있다.
절연재층(14)은, 재치판(11) 및 지지판(12)을 접합 일체화함과 함께, 정전 흡착용 내부 전극(13)을 플라즈마로부터 보호한다.
이 절연재층(14)을 구성하는 재료로서는, 재치판(11) 및 지지판(12)과 주성분이 동일한 절연성 재료가 바람직하며, 예를 들면, 재치판(11) 및 지지판(12)이 탄화 규소-산화 알루미늄 복합 소결체에 의해 구성되어 있는 경우에는, 산화 알루미늄(Al2O3)으로 하는 것이 바람직하다.
냉각 베이스부(3)는, 정전 척부(2)의 하측에 설치되고, 이 정전 척부(2)의 온도를 원하는 온도로 제어함과 함께, 고주파 발생용 전극을 겸비하고 있어, 알루미늄(Al), 알루미늄 합금, 구리(Cu), 구리 합금, 스테인리스강(SUS) 등의 열전도성이 좋은 금속에 의해 구성되어 있다.
이 냉각 베이스부(3)의 내부에는, 물이나 유기용매 등의 냉각용 매체를 순환시키는 유로(21)가 형성되어, 상기의 재치판(11)의 상면(정전 흡착면)에 재치되는 판 형상 시료(W)의 온도를 원하는 온도로 유지할 수 있다.
냉각 베이스부(3)의 적어도 플라즈마에 노출되는 면은, 알루마이트 처리가 실시되어 있거나, 혹은 알루미나 등의 절연막이 성막되어 있는 것이 바람직하다.
이 냉각 베이스부(3)는, 적어도 플라즈마에 노출되는 면에 알루마이트 처리 또는 절연막의 성막이 실시되어 있음으로써, 내(耐)플라즈마성이 향상하는 것 외, 이상(異常) 방전이 방지되고, 따라서, 내플라즈마 안정성이 향상한 것이 된다. 또한, 표면에 흠이 생기기 어려워지므로, 흠의 발생을 방지할 수 있다.
접착층(4)은, 정전 척부(2)와 냉각 베이스부(3)를 접착 일체화하는 것으로, -20℃∼150℃의 온도 범위에서 내열성을 가지는 접착제가 바람직하고, 예를 들면, 아크릴계 수지, 실리콘계 수지, 에폭시계 수지 등이 바람직하다. 특히, 산소계 플라즈마를 이용할 경우에는, 산소계 플라즈마에 대하여 내플라즈마성이 우수한 실리콘계 수지가 바람직하다.
이 접착층(4)의 형상은, 액상의 열경화성 접착제를 도포하여 얻어진 도막을 가열함으로써 경화시킨 시트 형상 또는 필름 형상의 접착제를 열 압착 등에 의해 경화한 경화막이어도 된다.
이들 정전 척부(2), 냉각 베이스부(3) 및 접착층(4)에는, 이들을 관통하는 헬륨(He) 등의 냉각용 가스를 냉각 베이스부(3) 측으로부터 정전 척부(2)에 재치된 판 형상 시료(W)를 향하여 공급하고, 판 형상 시료(W)를 소정의 온도로 냉각해 상기 온도를 유지하는 냉각용 가스 구멍(냉각용 기체 구멍)(31)이 복수개 형성되어 있다.
이 냉각용 가스 구멍(31)에는, 2중관 구조의 절연애자(32)가 끼워 넣어져 있고, 이 2중관 구조의 절연애자(32)에 의해, 접착층(4)의 냉각용 가스 구멍(31)에 노출되어 있는 노출면을 덮음으로써, 접착층(4)의 노출면을 플라즈마나 라디칼(프리라디칼)로부터 보호하고 있다.
이 2중관 구조의 절연애자(32)는, 냉각용 가스 구멍(31)의 내벽 중 냉각 베이스부(3) 및 접착층(4)에 대응하는 위치에 매립된 (제 1)절연애자(33)와, 이 절연애자(33)의 외주부에 동축적으로 끼워 넣어져, 이 절연애자(33)보다 외경이 큰 (제 2)절연애자(34)로 구성되어 있다.
이 절연애자(34)의 상단부는, 절연애자(33)의 상단부보다 하방에 위치하고 있고, 이들 절연애자(33) 및 절연애자(34) 각각의 상단부는, 접착층(4)에 의해 덮여 있다. 이것에 의해, 절연애자(34)와 정전 척부(2)의 사이의 접착층의 두께를, 소정의 두께로 확보할 수 있다.
절연애자(33, 34)는, 플라즈마나 라디칼(프리라디칼)에 대하여 내구성을 가지는 세라믹이 바람직하며, 이 세라믹으로서는, 질화 알루미늄(AlN), 산화 알루미늄(Al2O3), 질화 규소(Si3N4), 산화 지르코늄(ZrO2), 사이알론, 질화 붕소(BN), 탄화 규소(SiC)로부터 선택된 1종으로 이루어지는 세라믹, 혹은 2종 이상을 포함하는 복합 세라믹이 바람직하다.
이 절연애자(33)는, 두께가 얇은 (제 2)접착층(35)을 통하여 정전 척부(2)의 지지판(12)에 접착 고정되어 있다.
한편, 절연애자(34)의 하단면 및 절연애자(33)의 절연애자(34)보다 하방의 부분은 접착층(36)에 의해 덮여 있고, 절연애자(34)의 외주부의 하반(下半)부는 접착층(37)에 의해 덮여 있다.
이와 같이, 이들 절연애자(33, 34)는, 접착층(35∼37)에 의해 냉각 베이스부(3)에 접착 일체화되어 있다.
이 접착층(35, 36)으로서는, 플라즈마나 라디칼(프리라디칼)에 대하여 내구성을 가지는 가요성을 가지는 유기계 수지가 바람직하고, 이 유기계 수지로서는, 액상의 수지를 가열 등에 의해 경화한 경화성 수지가 바람직하다.
이와 같은 경화성 수지로서는, 예를 들면, 아크릴계 수지, 실리콘계 수지, 에폭시계 수지 등을 들 수 있으며, 특히, 산소계 플라즈마를 이용할 경우에는, 산소계 플라즈마에 대하여 내플라즈마성이 우수한 실리콘계 수지가 바람직하다.
이 실리콘계 수지는, 내열성, 탄성이 우수한 수지이며, 실록산 결합(Si-O-Si)을 가지는 규소 화합물이다. 이 실리콘계 수지는, 예를 들면, 하기의 식 (1) 또는 식 (2)의 화학식으로 나타낼 수 있다.
Figure pct00001
단, R는, H 또는 알킬기(CnH2n +1―:n은 정수)이다.
Figure pct00002
단, R는, H 또는 알킬기(CnH2n +1―:n은 정수)이다.
이와 같은 실리콘계 수지로서는, 특히, 열경화 온도가 70℃∼140℃의 실리콘 수지가 바람직하다.
여기에서, 열경화 온도가 70℃를 밑돌면, 정전 척부(2)와 절연애자(33)의 사이에 개재시킨 실리콘계 수지가 경화의 초기 과정에서 경화가 시작되어버려, 작업성이 뒤떨어지게 되므로 바람직하지 않다. 한편, 열경화 온도가 140℃를 넘으면, 정전 척부(2)와 냉각 베이스부(3)의 사이의 열팽창 차이가 커지게 되어, 그 결과, 실리콘계 수지가, 정전 척부(2)와 냉각 베이스부(3)의 사이의 응력을 흡수할 수 없어 응력이 증가하고, 이들 사이에서 박리가 생길 우려가 있으므로 바람직하지 않다.
이 접착층(35)의 두께는, 플라즈마나 라디칼(프리라디칼)이 용이하게 진입할 수 없을 정도의 두께일 필요가 있으며, 예를 들면, 0.1㎛ 이상 또한 100㎛ 이하가 바람직하고, 보다 바람직하게는 10㎛ 이상 또한 50㎛ 이하이다.
한편, 접착층(37)은, 절연애자(34)를 냉각 베이스부(3)에 접착 일체화할 수 있는 것이면 되고, 접착층(4)과 마찬가지로 -20℃∼150℃의 온도 범위에서 내열성을 가지는 접착제가 바람직하며, 예를 들면, 아크릴계 수지, 실리콘계 수지, 에폭시계 수지 등이 바람직하다. 특히, 산소계 플라즈마를 이용할 경우에는, 산소계 플라즈마에 대하여 내플라즈마성이 우수한 실리콘계 수지가 바람직하다.
이 접착층(37)의 형상은, 절연애자(34)를 냉각 베이스부(3)에 접착 일체화할 수 있는 형상이면 되고, 액상의 열경화성 접착제를 도포하여 얻어진 도막을 가열함으로써 경화시킨 시트 형상 또는 필름 형상의 경화막이어도 된다.
이 정전 척 장치(1)에서는, 절연애자(33)와 절연애자(34)로 이루어지는 2중관 구조의 절연애자(32)를, 냉각용 가스 구멍(31)에, 접착층(4)의 노출면을 덮도록 설치함으로써, 이 접착층(4)을 2중관 구조의 절연애자(32)에 의해, 냉각용 가스 구멍(31)으로부터 침입해오는 플라즈마나 라디칼(프리라디칼)로부터 보호한다.
이것에 의해, 접착층(4)은, 냉각용 가스 구멍(31)으로부터 침입해오는 플라즈마나 라디칼(프리라디칼)에 의해 침식될 우려가 없어지며, 접착층(4)으로서의 접착 기능을 계속하여 유지하는 것이 가능하게 되고, 그 결과, 정전 척부(2)에 있어서의 내전압이 향상한다.
또한, 냉각용 가스 구멍(31) 안을 헬륨 등의 냉각용 가스가 흐른 경우에 있어서도, 이 냉각용 가스 구멍(31)의 내면이 2중관 구조의 절연애자(32)에 의해 단열 상태로 보호되어 있으므로, 접착층(4)은 냉각용 가스의 온도의 영향을 받기 어려워져, 이 냉각용 가스 구멍(31)의 부근의 접착층(4)의 온도가 저하할 우려가 없어진다. 따라서, 냉각 베이스부(3)의 온도가 안정하고, 그 결과, 정전 척부(2)의 온도도 안정화한다.
이상 설명한 바와 같이, 본 실시형태의 정전 척 장치(1)에 의하면, 정전 척부(2), 냉각 베이스부(3) 및 접착층(4)을 관통하는 냉각용 가스 구멍(31)에, 절연애자(33)와, 이 절연애자(33)의 외주부에 동축적으로 끼워 넣어진 절연애자(34)로 이루어지는 2중관 구조의 절연애자(32)를 끼워 넣었다. 이 2중관 구조의 절연애자(32)에 의해, 접착층(4)을 플라즈마나 라디칼(프리라디칼)로부터 보호할 수 있으며, 그 결과, 정전 척부(2)에 있어서의 내전압을 향상시킬 수 있다.
또한, 이 냉각용 가스 구멍(31)의 내면을 2중관 구조의 절연애자(32)에 의해 단열 상태로 보호하고 있으므로, 냉각용 가스 구멍(31) 안을 냉각용 가스가 흐른 경우에 있어서도, 냉각용 가스 구멍(31)의 부근의 온도가 저하할 우려가 없어, 정전 척부(2)의 온도를 안정화시킬 수 있다.
절연애자(33)의 상단부를, 두께가 얇은 접착층(35)을 통하여 정전 척부(2)의 지지판(12)에 접착 일체화하였으므로, 접착층(4)으로 플라즈마나 라디칼(프리라디칼)이 진입하는 것을 방지할 수 있다.
절연애자(34)의 상단부를, 절연애자(33)의 상단부보다 하방에 위치시킴과 함께, 이 절연애자(34)의 상단부를 접착층(4)에 의해 덮었으므로, 절연애자(34)와 정전 척부(2)의 사이의 접착층의 두께를 확보할 수 있다. 그 결과, 절연애자(34)와 정전 척부(2)의 사이의 내전압을 향상시키는 것이 가능해진다.
접착층(4)은, 열경화성 접착제를 경화하였으므로, 정전 척부(2)와 냉각 베이스부(3)의 사이의 접착성을 장기간에 걸쳐 계속하여 유지할 수 있다.
또한, 절연애자(33)를, 냉각 베이스부(3)와 접착층(4)의 열팽창 차이에 의해 생기는 응력에 의해, 접착층(35)을 통하여 정전 척부(2)에 가압시키는 것으로 하였기 때문에, 절연애자(33)를 정전 척부(2)의 지지판(12)에 밀착시킬 수 있어, 절연애자(33)가 정전 척부(2)로부터 빠질 우려가 없다.
본 실시형태의 정전 척 장치(1)에서는, 정전 척부(2), 냉각 베이스부(3) 및 접착층(4)을 관통하는 냉각용 가스 구멍(31)에 2중관 구조의 절연애자(32)를 끼워 넣은 구성으로 하였으나, 2중관 구조의 절연애자(32)를 판 형상 시료를 착탈하는 핀을 삽입하는 핀 삽입용 구멍에 끼워 넣은 구성으로 해도 된다.
이 경우, 냉각용 가스 구멍(31) 및 핀 삽입용 구멍의 쌍방에 2중관 구조의 절연애자(32)를 끼워 넣음으로써, 정전 척부(2)의 온도를 한층 더 안정화시킬 수 있다.
실시예
이하, 실시예 및 비교예에 의해 본 발명을 구체적으로 설명하였으나, 본 발명은 이들의 실시예에 의해 한정되는 것은 아니다.
[실시예]
「급전용 단자의 제조」
산화 알루미늄 분체(평균 입자경 0.2㎛) 40중량부와, 탄화 탄탈 분체(평균 입자경 1㎛) 60중량부로 이루어지는 산화 알루미늄-탄화 탄탈 복합 분체를 성형하고, 그 후, 가압 소성하여, 직경 2.5mm, 길이 10mm의 막대 형상의 산화 알루미늄-탄화 탄탈 도전성 복합 소결체를 얻어, 이것을 급전용 단자(15)로 하였다.
「지지판의 제조」
고순도 탄화 규소 미분체 5중량부와, 산화 알루미늄 분체(평균 입자경 0.2㎛) 95중량부로 이루어지는 혼합 분체를 성형, 소성하고, 직경 320mm, 두께 4mm의 지지판(12)을 얻었다.
이어서, 이 지지판(12)에, 급전용 단자(15)를 끼워 넣어 고정하기 위한 고정 구멍 및 냉각용 가스를 도입하기 위한 복수의 냉각용 가스 구멍을 뚫어서 설치하였다.
「재치판의 제조」
상기의 지지판(12)의 제조에 준하여, 직경 320mm, 두께 4mm의 원판 형상의 탄화 규소-산화 알루미늄 복합 소결체로 이루어지는 재치판(11)을 얻었다.
이어서, 이 재치판(11)에, 냉각용 가스를 도입하기 위한 복수의 냉각용 가스 구멍을 뚫어서 설치하였다.
「일체화」
지지판(12)에 뚫어서 설치된 고정 구멍에 급전용 단자(15)를 끼워 넣어 고정하였다. 이어서, 이 지지판(12) 상의 정전 흡착용 내부 전극을 형성해야 할 영역에, 산화 알루미늄 분말 40중량% 및 탄화 탄탈 분말 60중량%로 이루어지는 혼합 분말을 테르피네올에 분산한 도포액을, 스크린 인쇄법으로 도포하고, 그 후 건조하여, 정전 흡착용 내부 전극 형성층을 형성하였다.
이어서, 지지판(12) 상의 정전 흡착용 내부 전극을 형성해야 할 영역 이외의 영역에, 산화 알루미늄 분말(평균 입자경 0.2㎛) 및 에탄올을 포함하는 도포액을, 스크린 인쇄법으로 도포하고, 그 후 건조하여, 절연재층 형성층을 형성하였다.
이어서, 이 지지판(12)의 정전 흡착용 내부 전극 형성층 및 절연재층 형성층 상에 재치판(11)을 겹쳐 맞추고, 이어서, 이들을 핫 프레스로 가압 소성해서 일체화하여, 정전 척부(2)를 얻었다. 이때의 핫 프레스의 조건은, 온도 1750℃, 압력 7.5MPa였다.
「냉각 베이스부의 제조」
알루미늄(Al) 블록에 기계 가공을 실시하고, 직경 340mm, 두께 28mm의 냉각 베이스부(3)를 제조하였다.
이어서, 이 냉각 베이스부(3)에, 급전용 단자(15)를 끼워 넣어 고정하기 위한 관통 구멍 및 냉각용 가스를 도입하기 위한 복수의 냉각용 가스 구멍을 뚫어서 설치하였다.
「2중관 구조의 절연애자의 제조」
산화 알루미늄을 이용하여, 외경 5mm, 내경 2mm, 길이 28mm의 절연애자(33)와, 외경 8mm, 내경 4.9mm, 길이 8mm의 절연애자(34)를 얻었다.
이것에 의해, 절연애자(33)와 절연애자(34)로 이루어지는 2중관 구조의 절연애자(32)를 얻었다.
이어서, 이 절연애자(34)를, 냉각 베이스부(3)의 냉각용 가스 구멍(31)에 접착층(37)을 통하여 접착 일체화하였다.
이어서, 이 절연애자(34)를 장착한 냉각 베이스부(3)의 정전 척부(2)와의 접착면에, 실리콘계 수지를 도포하여 접착층(4)으로 하였다.
이어서, 절연애자(34)를 장착한 냉각 베이스부(3)와 정전 척부(2)를 겹쳐 맞췄다.
이어서, 절연애자(33)의 지지판측 및 측면에 가열 경화형 아크릴 접착제를 도포하고, 이 절연애자(33)를 절연애자(34)에 끼워 넣고, 가열 처리에 의해 가열 경화형 아크릴 접착제를 경화하여 접착층(35, 36)으로 함과 함께, 접착층(35, 36, 4)을 통하여 정전 척부(2) 및 냉각 베이스부(3)를 접착 일체화하였다.
「평가」
판 형상 시료로서 12인치의 실리콘 웨이퍼를 이용하고, 이 실리콘 웨이퍼를 상기의 정전 척 장치(1)에 정전 흡착시켜, 냉각 베이스부(3)의 냉매의 온도를 20℃, 플라즈마의 인가 전력을 3000W, 인가 시간을 120초, 플라즈마 인가의 간격을 2분으로 하고, 플라즈마를 합계 5000회 인가한 후의 접착층(4)의 접착 상태, 정전 척부(2)의 내전압, 정전 척부(2)의 외주부에 있어서의 온도 변화를 평가하였다.
평가 방법은, 다음과 같다.
(1) 접착층의 접착 상태
접착층의 접착 상태를, 초음파 탐상 시험 장치를 이용하여 조사하였다.
(2) 정전 척부의 측면부에 있어서의 내전압
정전 척부의 재치면에 도전성 테이프를 붙여, 냉각 베이스부와 도전성 테이프의 사이에 소정의 전압을 인가하고, 방전의 유무를 조사하였다.
(3) 정전 척부의 외주부에 있어서의 온도 변화
정전 척 장치에 플라즈마를 인가한 상태에서, 이 정전 척 장치의 외주부의 표면 온도를, 온도 계측용 웨이퍼를 이용하여 측정하였다.
이러한 평가의 결과, 다음과 같은 것을 알아냈다.
접착층(4)의 접착 상태에 대해서는 아무런 변화가 없어, 양호한 접착 상태를 유지하고 있고, 내식성이 우수하다는 것이 확인되었다.
또한, 정전 척부(2)의 냉각용 가스 구멍(31)의 측면부에서는, 5000V의 내전압을 가지고 있고, 이 정전 척부(2)의 외주부에 있어서의 온도 변화는 1℃ 이하였다.
[비교예]
냉각용 가스 구멍(31)에 2중관 구조의 절연애자(32)를 끼워 넣지 않은 것 이외에는, 실시예에 준하여 정전 척 장치를 얻었다.
이어서, 실시예에 준하여, 플라즈마 인가 후의, 접착층(4)의 접착 상태, 정전 척부(2)에 있어서의 내전압, 정전 척부(2)의 외주부에 있어서의 온도 변화를 평가하였다.
이러한 평가의 결과, 다음과 같은 것을 알아냈다.
초기 상태에서의 정전 척부(2)의 온도는, 냉각용 가스 구멍(31) 주변의 온도가 다른 영역보다 2℃ 낮고, 플라즈마 시험 후에 있어서는, 초기 상태와 비교하여, 접착층(4)의 냉각용 가스 구멍(31) 주변에 초음파에 기인하는 이상이 생기고 있는 것이 확인되었다.
또한, 정전 척부(2)의 내전압은 4000V로, 실시예의 것보다 낮고, 또한, 이 정전 척부(2)의 주연부에 있어서의 표면 온도는, 초기 상태보다 3℃ 증가하고 있었다.
이와 같이, 비교예의 정전 척 장치는, 실시예의 정전 척 장치와 비교하여 내식성, 내전압, 외주부에 있어서의 온도 모두 뒤떨어지고 있었다.
본 발명의 정전 척 장치는, 냉각용 가스 구멍(31)에, 절연애자(33)와, 절연애자(33)의 외주부에 동축적으로 설치된 절연애자(34)로 이루어지는 2중관 구조의 절연애자(32)를, 접착층(4)의 냉각용 가스 구멍(31) 측의 노출면을 덮도록 설치하였다. 이것에 의해, 접착층(4)을 플라즈마나 라디칼(프리라디칼)로부터 보호하고, 정전 척부(2)에 있어서의 내전압을 향상시키며, 나아가서는, 정전 척부(2)의 표면 온도를 안정화시킬 수 있기 때문에, 그 유용성은 매우 크다.
1: 정전 척 장치 2: 정전 척부
3: 냉각 베이스부 4: 접착층
11: 재치판 12: 지지판
13: 정전 흡착용 내부 전극 14: 절연재층
15: 급전용 단자 16: 돌기부
21: 유로
31: 냉각용 가스 구멍(냉각용 기체 구멍)
32: 2중관 구조의 절연애자 33: 제 1 절연애자
34: 제 2 절연애자
35: 얇은 두께의 제 2 접착층 36: 접착층
37: 접착층 W: 판 형상 시료

Claims (5)

  1. 판 형상 시료를 재치하는 재치면을 가짐과 함께 정전 흡착용 내부 전극을 내장하여 이루어지는 정전 척부와, 상기 정전 척부를 냉각하는 냉각 베이스부를 구비하고,
    상기 정전 척부와 상기 냉각 베이스부는 제 1 접착층을 통하여 접착 일체화되며,
    상기 정전 척부 및 상기 냉각 베이스부에는, 이들을 관통하는 냉각용 기체 구멍 및/또는 상기 판 형상 시료를 착탈하는 핀을 삽입하는 핀 삽입용 구멍이 형성되고,
    상기 냉각용 기체 구멍 및 상기 핀 삽입용 구멍 중 어느 한쪽 또는 쌍방에, 제 1 절연애자와, 상기 제 1 절연애자의 외주부에 동축적으로 설치된 제 2 절연애자로 이루어지는 2중관 구조의 절연애자를, 적어도 상기 제 1 접착층의 노출면을 덮도록 설치하여 이루어지는 정전 척 장치.
  2. 제 1항에 있어서,
    상기 제 1 절연애자의 상단부는, 얇은 두께의 제 2 접착층을 통하여 상기 정전 척부에 접착되어 있는 정전 척 장치.
  3. 제 1항 또는 제 2항에 있어서,
    상기 제 2 절연애자의 상단부는, 상기 제 1 절연애자의 상단부보다 하방에 위치하고, 또한 상기 제 1 접착층에 의해 덮여 있는 정전 척 장치.
  4. 제 1항 내지 제 3항 중 어느 한 항에 있어서,
    상기 제 1 접착층은, 열경화성 접착제를 경화하여 이루어지는 정전 척 장치.
  5. 제 1항 내지 제 4항 중 어느 한 항에 있어서,
    상기 제 1 절연애자는, 상기 냉각 베이스부와 상기 제 1 접착층의 열팽창 차이에 의해 생기는 응력에 의해, 상기 제 2 접착층을 통하여 상기 정전 척부에 가압되어 있는 정전 척 장치.
KR1020147018738A 2012-02-08 2013-02-06 정전 척 장치 KR101902349B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012025032 2012-02-08
JPJP-P-2012-025032 2012-02-08
PCT/JP2013/052759 WO2013118781A1 (ja) 2012-02-08 2013-02-06 静電チャック装置

Publications (2)

Publication Number Publication Date
KR20140128955A true KR20140128955A (ko) 2014-11-06
KR101902349B1 KR101902349B1 (ko) 2018-09-28

Family

ID=48947542

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147018738A KR101902349B1 (ko) 2012-02-08 2013-02-06 정전 척 장치

Country Status (5)

Country Link
US (1) US9412635B2 (ko)
JP (1) JP6047506B2 (ko)
KR (1) KR101902349B1 (ko)
TW (1) TWI579956B (ko)
WO (1) WO2013118781A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170113560A (ko) * 2015-02-18 2017-10-12 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치 및 반도체 제조 장치
KR20170133332A (ko) * 2015-03-31 2017-12-05 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
KR20190095075A (ko) * 2018-02-05 2019-08-14 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치
KR20200003190A (ko) * 2017-06-13 2020-01-08 엔지케이 인슐레이터 엘티디 반도체 제조 장치용 부재

Families Citing this family (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013095362A1 (en) 2011-12-20 2013-06-27 Intel Corporation High performance transient uniform cooling solution for thermal compression bonding process
US9282650B2 (en) * 2013-12-18 2016-03-08 Intel Corporation Thermal compression bonding process cooling manifold
DE102014008031B4 (de) 2014-05-28 2020-06-25 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit einer Keramik-Elektrode und Verfahren zur Herstellung einer solchen Haltevorrichtung
DE102014008029B4 (de) 2014-05-28 2023-05-17 Asml Netherlands B.V. Elektrostatische Haltevorrichtung mit einer Elektroden-Trägerscheibe und Verfahren zur Herstellung der Haltevorrichtung
DE102014007903A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit Noppen-Elektroden und Verfahren zu deren Herstellung
DE102014008030A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co Verfahren zur Herstellung einer elektrostatischen Haltevorrichtung
CN104970920B (zh) * 2015-07-07 2018-04-27 福建培新机械制造实业有限公司 一种环腰直转位轮吸附气室结构
JP6525793B2 (ja) * 2015-07-29 2019-06-05 京セラ株式会社 試料保持具
JP6026620B2 (ja) * 2015-10-22 2016-11-16 東京エレクトロン株式会社 載置台、プラズマ処理装置及び載置台の製造方法
WO2017126534A1 (ja) * 2016-01-19 2017-07-27 住友大阪セメント株式会社 静電チャック装置
JP6604239B2 (ja) * 2016-03-08 2019-11-13 住友大阪セメント株式会社 静電チャック装置
US10770270B2 (en) * 2016-06-07 2020-09-08 Applied Materials, Inc. High power electrostatic chuck with aperture-reducing plug in a gas hole
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
US10741425B2 (en) * 2017-02-22 2020-08-11 Lam Research Corporation Helium plug design to reduce arcing
US10460978B2 (en) 2017-03-08 2019-10-29 Lam Research Corporation Boltless substrate support assembly
KR102445612B1 (ko) * 2017-05-25 2022-09-20 엔지케이 인슐레이터 엘티디 웨이퍼용 서셉터
KR20190015522A (ko) * 2017-05-25 2019-02-13 엔지케이 인슐레이터 엘티디 웨이퍼용 서셉터
US10688750B2 (en) 2017-10-03 2020-06-23 Applied Materials, Inc. Bonding structure of E chuck to aluminum base configuration
JP7259765B2 (ja) * 2017-12-28 2023-04-18 住友大阪セメント株式会社 静電チャック装置
WO2019182104A1 (ja) * 2018-03-23 2019-09-26 住友大阪セメント株式会社 静電チャック装置および静電チャック装置の製造方法
US10847402B2 (en) * 2018-04-02 2020-11-24 Applied Materials, Inc. Bond protection around porous plugs
CN108649012B (zh) * 2018-05-11 2021-10-01 北京华卓精科科技股份有限公司 新型陶瓷塞及具有该新型陶瓷塞的静电卡盘装置
US11456161B2 (en) 2018-06-04 2022-09-27 Applied Materials, Inc. Substrate support pedestal
US11367597B2 (en) 2018-07-05 2022-06-21 Samsung Electronics Co., Ltd. Electrostatic chuck and plasma processing apparatus including the same
CN110943023B (zh) * 2018-09-25 2022-04-26 北京华卓精科科技股份有限公司 静电卡盘功能孔防堵装置
JP2020072176A (ja) * 2018-10-31 2020-05-07 京セラ株式会社 試料保持具
CN112970091A (zh) * 2018-11-01 2021-06-15 朗姆研究公司 具有防止氦孔洞点火/发弧的特征的高功率静电卡盘
WO2020111194A1 (ja) * 2018-11-30 2020-06-04 京セラ株式会社 試料保持具
KR20200126269A (ko) * 2019-04-29 2020-11-06 삼성전자주식회사 접합헤드 및 이를 구비하는 접합 장치
KR20210158874A (ko) 2019-05-24 2021-12-31 어플라이드 머티어리얼스, 인코포레이티드 접합 층 보호가 개선된 기판 지지 캐리어
JP7339062B2 (ja) * 2019-08-09 2023-09-05 東京エレクトロン株式会社 載置台及び基板処理装置
JP7108586B2 (ja) * 2019-08-16 2022-07-28 日本特殊陶業株式会社 保持装置
CN112992634B (zh) * 2019-12-12 2023-10-31 中微半导体设备(上海)股份有限公司 用于等离子体处理设备的下电极组件和等离子体处理设备
JP7010313B2 (ja) * 2020-01-31 2022-01-26 住友大阪セメント株式会社 セラミックス接合体、静電チャック装置、セラミックス接合体の製造方法
JP6904442B1 (ja) * 2020-01-31 2021-07-14 住友大阪セメント株式会社 セラミックス接合体、静電チャック装置
JP2021158236A (ja) * 2020-03-27 2021-10-07 住友大阪セメント株式会社 静電チャック装置
KR102644585B1 (ko) * 2020-08-21 2024-03-06 세메스 주식회사 기판 처리 장치 및 이의 제조 방법
JP7372271B2 (ja) * 2021-01-06 2023-10-31 日本碍子株式会社 半導体製造装置用部材及びその製法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03217043A (ja) 1990-01-22 1991-09-24 Ngk Spark Plug Co Ltd 静電チャック装置
US6055150A (en) * 1996-05-02 2000-04-25 Applied Materials, Inc. Multi-electrode electrostatic chuck having fuses in hollow cavities
TW334609B (en) * 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
JP2003194723A (ja) * 2001-12-27 2003-07-09 Rikogaku Shinkokai プラズマトーチ
JP4095842B2 (ja) 2002-06-26 2008-06-04 日本特殊陶業株式会社 静電チャック
JP4413667B2 (ja) 2004-03-19 2010-02-10 日本特殊陶業株式会社 静電チャック
JP4783213B2 (ja) 2005-06-09 2011-09-28 日本碍子株式会社 静電チャック
JP4855177B2 (ja) 2006-08-10 2012-01-18 住友大阪セメント株式会社 静電チャック装置
JP5160112B2 (ja) 2007-03-19 2013-03-13 東京エレクトロン株式会社 処理装置内構造体、プラズマ処理装置内構造体及びプラズマ処理装置
JP2008172255A (ja) 2008-01-25 2008-07-24 Ngk Spark Plug Co Ltd 静電チャック
JP5331580B2 (ja) * 2008-07-02 2013-10-30 日本碍子株式会社 ウエハ載置装置及びそれに用いる部品

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170113560A (ko) * 2015-02-18 2017-10-12 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치 및 반도체 제조 장치
KR20170133332A (ko) * 2015-03-31 2017-12-05 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
KR20200003190A (ko) * 2017-06-13 2020-01-08 엔지케이 인슐레이터 엘티디 반도체 제조 장치용 부재
KR20190095075A (ko) * 2018-02-05 2019-08-14 가부시키가이샤 히다치 하이테크놀로지즈 플라스마 처리 장치

Also Published As

Publication number Publication date
JP6047506B2 (ja) 2016-12-21
JPWO2013118781A1 (ja) 2015-05-11
US9412635B2 (en) 2016-08-09
KR101902349B1 (ko) 2018-09-28
TW201340245A (zh) 2013-10-01
US20140376148A1 (en) 2014-12-25
TWI579956B (zh) 2017-04-21
WO2013118781A1 (ja) 2013-08-15

Similar Documents

Publication Publication Date Title
KR101902349B1 (ko) 정전 척 장치
KR101677922B1 (ko) 정전척 장치
US9330953B2 (en) Electrostatic chuck device
KR101800337B1 (ko) 정전 척 장치
JP6319023B2 (ja) 静電チャック装置
TW201933529A (zh) 用於高溫處理之靜電吸座組件
JP2010040644A (ja) 静電チャック装置
CN108604569B (zh) 静电卡盘装置
JP5846186B2 (ja) 静電チャック装置および静電チャック装置の製造方法
US10147629B2 (en) Electrostatic chuck device
JP5982887B2 (ja) 静電チャック装置
JP6155922B2 (ja) 静電チャック装置
JP5504924B2 (ja) 静電チャック装置
JP7020238B2 (ja) 静電チャック装置
CN106796914B (zh) 静电卡盘装置
JP5343802B2 (ja) 静電チャック装置
JP2021158242A (ja) 静電チャック装置
JP2021158236A (ja) 静電チャック装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant