KR20140121833A - 기판을 처리하기 위한 방법 및 장치 - Google Patents

기판을 처리하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20140121833A
KR20140121833A KR1020147022262A KR20147022262A KR20140121833A KR 20140121833 A KR20140121833 A KR 20140121833A KR 1020147022262 A KR1020147022262 A KR 1020147022262A KR 20147022262 A KR20147022262 A KR 20147022262A KR 20140121833 A KR20140121833 A KR 20140121833A
Authority
KR
South Korea
Prior art keywords
substrate
gas
backside
process chamber
disposed
Prior art date
Application number
KR1020147022262A
Other languages
English (en)
Inventor
매튜 로저스
마틴 리플레이
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20140121833A publication Critical patent/KR20140121833A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02301Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment in-situ cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판을 처리하기 위한 방법 및 장치가 제공된다. 일부 실시예들에서, 프로세스 챔버 내에 배치된 기판을 처리하는 방법은, 기판을 지지하도록 구성된 기판 지지 링 및 기판의 후면 부근에 배치된 반사기 플레이트를 갖는 프로세스 챔버 내에 배치된 기판에 대해 프로세스를 수행하는 단계; 기판에 대해 프로세스를 수행하면서, 반사기 플레이트 내에 배치된 하나 이상의 관통 홀을 통해 기판의 후면에 산소 함유 가스 또는 질소 함유 가스 중 하나를 포함하는 제1 가스를 제공하는 단계; 및 프로세스 챔버를 기판의 최상부면 부근에서는 제1 압력으로, 기판의 최하부면 부근에서는 제2 압력으로 유지하는 단계를 포함하고, 제1 압력은 처리 동안 기판 지지 링으로부터의 기판의 이탈을 방지하기에 충분하게 제2 압력보다 크다.

Description

기판을 처리하기 위한 방법 및 장치{METHODS AND APPARATUS FOR PROCESSING A SUBSTRATE}
본 발명의 실시예들은 일반적으로 반도체 처리에 관한 것이다.
일부 종래의 반도체 제조 프로세스, 예를 들어 어닐링 프로세스는 프로세스의 수행을 위해 프로세스 챔버가 고온 및 저압으로 유지될 것을 요구할 수 있다. 그러나, 본 발명자들은 그러한 온도 및 압력에서 프로세스를 수행하는 것은 바람직하지 못하게도 기판으로부터의 도펀트들의 확산 또는 물질의 승화를 야기할 수 있음을 알아냈다. 예를 들어, 실리콘 함유 기판을 어닐링할 때, 프로세스 챔버 내의 산소(예를 들어, 수분, 프로세스 챔버 내에서 수행된 선행 프로세스들로부터의 잔류물, 제1 가스 공급으로부터의 누설, 또는 그와 유사한 것)가 기판의 표면을 공격하여 실리콘 산화물을 형성할 수 있다. 그러면, 실리콘 산화물은 프로세스 챔버의 표면, 예를 들어 측벽, 반사기 플레이트, 고온계(pyrometers) 또는 그와 유사한 것에 응축할 수 있다. 프로세스 일관성(process consistency)을 유지하기 위해, 프로세스 챔버는 응축된 물질들의 제거를 위한 주기적인 유지보수를 필요로 하며, 그에 따라 처리 효율 및 수율이 감소된다.
전형적으로, 급속 열 처리(RTP: rapid thermal process) 챔버와 같은 프로세스 챔버에서, 저농도의 산소를 갖는 가스가 기판의 정면으로 유동(flow)되어, 전술한 승화를 방지할 수 있다. 그러나, 그러한 종래의 프로세스 챔버는 전형적으로 기판의 정면과 기판의 후면 간에서의 가스 전도도(gas conductance)의 차이가 크다. 가스 전도도에 있어서의 이러한 차이는 기판의 후면으로부터의 물질의 승화를 방지하기에는 부적절한 양의 산소가 기판의 후면에 도달하게 한다.
그러므로, 본 발명자들은 기판을 처리하기 위한 개선된 방법 및 장치를 제공한다.
여기에서는 기판을 처리하기 위한 방법 및 장치가 제공된다. 일부 실시예들에서, 프로세스 챔버 내에 배치된 기판을 처리하는 방법은, 기판을 지지하도록 구성된 기판 지지 링 및 기판의 후면 부근에 배치된 반사기 플레이트를 갖는 프로세스 챔버 내에 배치된 기판에 대해 프로세스를 수행하는 단계; 기판에 대해 프로세스를 수행하면서, 반사기 플레이트 내에 배치된 하나 이상의 관통 홀(through hole)을 통해 기판의 후면에 산소 함유 가스 또는 질소 함유 가스 중 하나를 포함하는 제1 가스를 제공하는 단계; 및 프로세스 챔버를 기판의 최상부면 부근에서는 제1 압력으로, 기판의 최하부면 부근에서는 제2 압력으로 유지하는 단계를 포함할 수 있고, 제1 압력은 처리 동안 기판 지지 링으로부터의 기판의 이탈(dislodgement)을 방지하기에 충분하게 제2 압력보다 크다.
일부 실시예들에서, 실행될 때, 기판을 처리하기 위한 방법이 프로세스 챔버 내에서 수행되게 하는 명령어들이 저장되어 있는 컴퓨터 판독가능한 매체가 제공된다. 이 방법은 여기에 개시된 실시예들 중 임의의 것을 포함할 수 있다.
일부 실시예들에서, 기판을 처리하기 위한 장치는, 기판을 지지하도록 구성된 기판 지지 링 및 기판의 후면 부근에 배치된 반사기 플레이트를 갖는 프로세스 챔버를 포함할 수 있고, 반사기 플레이트는 복수의 관통 홀을 갖고; 반사기 플레이트 내에 배치된 복수의 관통 홀 중 적어도 하나는 기판의 후면 표면 부근의 영역에 제1 가스를 제공하기 위한 유입구(inlet)이고; 반사기 플레이트 내에 배치된 복수의 관통 홀 중 적어도 하나는 기판의 후면 표면으로부터 나가는 가스들의 유동을 생성하기 위한 유출구(outlet)이다.
본 발명의 다른 실시예들 및 추가의 실시예들이 이하에 설명된다.
위에서 간략하게 요약되고 이하에 더 상세하게 논의되는 본 발명의 실시예들은 첨부 도면들에 도시된 본 발명의 예시적인 실시예들을 참조하여 이해될 수 있다. 그러나, 본 발명은 동등한 효과의 다른 실시예들을 허용할 수 있으므로, 첨부 도면들은 본 발명의 전형적인 실시예들을 도시할 뿐이며, 따라서 그것의 범위를 제한하는 것으로 간주되어서는 안 된다는 점에 주목해야 한다.
도 1은 본 발명의 일부 실시예들에 따라 기판을 처리하기 위한 방법을 도시한다.
도 2a-2b(총괄하여 도 2라고 지칭함)는 본 발명의 일부 실시예들에 따른 본 발명의 방법의 다양한 단계들에서의 기판의 개략적인 측면도를 도시한다.
도 3은 본 발명의 일부 실시예들에 따라 본 발명의 방법을 수행하는 데에 적합한 프로세스 챔버의 개략적 측면도를 도시한다.
도 4-5는 본 발명의 일부 실시예들에 따라 본 발명의 방법을 수행하는 데에 적합한 프로세스 챔버의 부분들의 개략적 측면도를 도시한다.
이해를 쉽게 하기 위해, 가능한 경우에 도면들에 공통인 동일한 요소를 지칭하는 데에 동일한 참조 번호들이 이용되었다. 도면들이 비례에 맞춰 그려지지는 않으며, 명확성을 위해 단순화될 수 있다. 일 실시예의 요소들 및 특징들은 더 이상의 언급 없이도 다른 실시예들에 유리하게 포함될 수 있을 것으로 생각된다.
본 발명의 실시예들은 기판을 처리하기 위한 방법 및 장치를 제공한다. 본 발명의 실시예들은 유리하게도 기판의 후면 부근의 주변 조건들(ambient conditions)에 대한 제어를 용이하게 하여, 기판으로부터의 도펀트들의 확산 또는 물질들의 승화를 감소시킬 수 있고, 그에 의해 프로세스 챔버의 표면들 상의 물질의 퇴적을 감소시키거나 방지하며, 그에 따라 프로세스 챔버를 세정하기 위한 유지보수가 필요해지기 전의 생산 시간을 증가시키고, 프로세스 효율을 증가시킨다. 일부 실시예들에서, 본 발명은 기판의 후면 상의 캡핑(capping) 층의 퇴적을 가능하게 할 수 있고, 그에 의해 기판의 후면으로부터의 임의의 도펀트들의 확산 또는 물질들의 승화를 더 감소시킨다.
도 1은 본 발명의 일부 실시예들에 따라 기판을 처리하기 위한 방법(100)을 도시한다. 도 2a-2b는 본 발명의 일부 실시예들에 따른 본 발명의 방법(100)의 다양한 단계들을 통한 기판(200)을 도시한다. 방법(100)은 예를 들어 도 3과 관련하여 이하에 설명되는 프로세스 챔버와 유사한 프로세스 챔버와 같이, 반도체 기판 처리에 적합한 임의의 프로세스 챔버 내에서 수행될 수 있다.
방법(100)은 일반적으로 단계(102)에서 시작하는데, 여기에서 기판을 지지하도록 구성된 기판 지지 링 및 기판의 후면 부근에 배치된 반사기 플레이트를 갖는 프로세스 챔버 내에 배치된 기판에 대해 프로세스가 수행된다.
도 2를 참조하면, 기판(200)은 반도체 디바이스의 제조에 적합한 임의의 유형의 기판일 수 있다. 예를 들어, 기판(200)은 도핑되거나 도핑되지 않은 실리콘 기판, Ⅲ-Ⅴ 화합물 기판, 실리콘 게르마늄(SiGe) 기판, epi-기판, SOI(silicon-on-insulator) 기판, 액정 디스플레이(LCD), 플라즈마 디스플레이, EL(electro luminescence) 램프 디스플레이, LED(light emitting diode) 기판과 같은 디스플레이 기판, 태양 전지 어레이(solar cell array), 태양 전지판(solar panel), 또는 그와 유사한 것일 수 있다. 일부 실시예들에서, 기판(200)은 200 또는 300mm 반도체 웨이퍼와 같은 반도체 웨이퍼일 수 있다.
추가로, 일부 실시예들에서, 기판은 완전 또는 부분 제조된 반도체 디바이스의 하나 이상의 컴포넌트를 포함할 수 있다. 예를 들어, 일부 실시예들에서, 기판(200)은 하나 이상의 층, 하나 이상의 피쳐(feature), 하나 이상의 완전 또는 부분 제조된 구조물, 또는 그와 유사한 것을 포함할 수 있다. 예를 들어, 일부 실시예들에서, 기판(200)은 질화물 층, 산화물 층, 또는 그와 유사한 것과 같은 층(204에서 팬텀으로 도시됨)을 포함할 수 있다.
프로세스 챔버는 기판을 지지하도록 구성된 기판 지지 링 및 기판의 후면 부근에 배치된 반사기 플레이트를 갖는 임의의 유형의 프로세스 챔버일 수 있다. 적합한 프로세스 챔버들의 예는 RADIANCE®, RADIANCE® PLUS, 또는 VANTAGE® 프로세스 챔버, 또는 열 처리, 예를 들어, 급속 열 처리(RTP)를 수행할 수 있는 임의의 다른 프로세스 챔버 중 임의의 것을 포함하며, 이들 모두는 캘리포니아주 산타클라라의 Applied Materials, Inc.로부터 입수가능하다. 다른 제조사들로부터 입수가능한 것들을 포함하는 다른 적절한 프로세스 챔버들도 여기에 제공된 교시에 따라 이용되고/거나 수정될 수 있다. 일부 실시예들에서, 프로세스 챔버는 도 3과 관련하여 이하에 설명되는 프로세스 챔버와 유사할 수 있다.
기판에 대해 수행되는 프로세스는 반도체 디바이스를 제조하는 데에 요구되는 임의의 프로세스, 예를 들어 화학적 기상 증착(chemical vapor deposition; CVD), 물리적 기상 증착(physical vapor deposition; PVD) 또는 그와 유사한 것과 같은 퇴적 프로세스, 건식 식각, 습식 식각 또는 그와 유사한 것과 같은 식각 프로세스, 또는 급속 열 어닐링(RTA), 질화 후 어닐링(post nitridation anneal), 산화 후 어닐링(post oxidation anneal) 또는 그와 유사한 것과 같은 어닐링 프로세스일 수 있다. 예를 들어, 본 발명의 실시예들에 따른 방법들은 기판의 후면이 (에지 링과 같은) 지지부와 직접 접촉하지 않고 있는 경우에서 섭씨 약 500도를 넘는 프로세스들에 대해 유리하게 이용될 수 있다. 추가로, 본 발명의 실시예들에 따른 방법들은 또한 유리하게도, 시간에 따른 임의의 프로세스 시프트를 최소화하거나 제거하면서, 기판의 후면으로부터 이루어지는 측정들(예를 들어, 광학적 기법을 이용하는 온도 측정들)을 용이하게 할 수 있다.
본 발명자들은 일부 프로세스들, 예를 들어 급속 열 처리(RTP) 챔버 내에서 수행되는 질화 후 또는 산화 후 어닐링 프로세스는 프로세스의 수행을 위해 프로세스 챔버가 고온(예를 들어, 섭씨 약 1000도 이상) 및 저압(예를 들어, 약 100 Torr 미만, 또는 일부 실시예들에서는 약 50 Torr 미만, 또는 약 10 Torr 미만)으로 유지될 것을 요구할 수 있음을 알아냈다. 그러나, 본 발명자들은 그러한 온도 및 압력에서 프로세스를 수행하는 것은 바람직하지 못하게도 기판(200)으로부터의 도펀트들의 확산 및 물질들의 승화를 야기할 수 있음을 알아냈다. 예를 들어, 실리콘 함유 기판의 어닐링 프로세스에서, 예를 들어, 수분, 프로세스 챔버 내에서 수행된 선행 프로세스들로부터의 잔류물, 제1 가스 공급으로부터의 누설, 또는 그와 유사한 것의 결과로서, 프로세스 챔버 내에 소량의 산소가 존재할 수 있다. 본 발명자들은 저농도의 산소의 존재, 높은 프로세스 온도 및/또는 낮은 산소 부분압(적어도 부분적으로는 낮은 프로세스 압력에 의해 야기됨)은 기판으로부터의 실리콘 산화물의 승화를 야기할 수 있음을 알아냈다. 그러면, 승화된 실리콘 산화물 물질은 프로세스 챔버의 표면들, 예를 들어 측벽, 반사기 플레이트, 고온계 또는 그와 유사한 것에 응축할 수 있다. 또한, 본 발명자들은 급속 열 처리(RTP) 챔버와 같은 프로세스 챔버들 내에서는, 전술한 승화를 방지하기 위해, 산소 함유 가스가 기판의 정면으로 유동될 수 있음을 알아냈다. 예를 들어, 기판의 정면에 산소 함유 가스를 제공함으로써, 산소의 농도 및/또는 산소의 부분압이 증가되고, 그에 의해 기판으로부터의 물질(예를 들어, 실리콘 산화물)의 승화가 감소되거나 제거된다. 그러나, 본 발명자들은 종래의 프로세스 챔버들에서의 기판(200)의 후면(206)을 따른 유동에 비교할 때의 기판의 정면(208)을 따른 유동에 관련한 유동 전도도(flow conductance)의 차이로 인해, 기판(200)의 후면(206)으로부터의 물질의 승화를 방지하기에는 부적절한 양의 산소가 기판(200)의 후면(206)에 도달한다는 것을 알아냈다.
따라서, 다음으로 단계(104)에서, 산소 함유 가스 또는 질소 함유 가스 중 하나를 포함하는 제1 가스가 기판(200)의 후면(206)에 제공된다. 일부 실시예들에서, 프로세스를 수행하는 동안, 기판 배후에 배치된 반사기 플레이트 내에 배치된 하나 이상의 관통 홀을 통해 제1 가스가 기판의 후면에 제공될 수 있다. 본 발명자들은, 기판(200)의 후면(206)에 제1 가스를 제공함으로써, 기판 후면 부근의 주변 조건들(예를 들어, 압력, 산소의 부분압, 산소의 농도 또는 그와 유사한 것)이 제어될 수 있고, 그에 의해 기판(200)으로부터의 (예를 들어, 위에서 설명된 것과 같은) 물질들의 승화 또는 도펀트들의 확산을 감소시키거나 제거할 수 있음을 알아냈다. 예를 들어, 제1 가스가 산소 함유 가스인 실시예들에서, 본 발명자들은 산소 함유 가스의 제공이 기판(200)의 후면(206) 부근에서의 산소의 농도를 증가시키고, 그에 의해 기판(200)으로부터의 실리콘의 승화를 방지하며, 따라서 프로세스 챔버의 표면들 상에서의 실리콘 산화물의 형성 및 후속하는 퇴적을 방지한다는 것을 알아냈다.
산소 함유 가스 또는 질소 함유 가스는 프로세스 환경 내에서 비반응성(non-reactive)이면서도, 기판(200)으로부터의 물질들의 승화 또는 도펀트들의 확산을 방지하는 데에 적합한 임의의 가스 또는 가스들의 조합을 포함할 수 있다. 예를 들어, 제1 가스가 산소 함유 가스를 포함하는 실시예들에서, 제1 가스는 산소(O2) 가스, 질소 산화물(NOx) 또는 그와 유사한 것 중 하나를 포함할 수 있다. 제1 가스가 질소 함유 가스를 포함하는 실시예들에서, 제1 가스는 질소(N2) 가스, 질소 산화물(NOx), 암모니아(NH3) 또는 그와 유사한 것 중 하나를 포함할 수 있다. 일부 실시예들에서, 산소 함유 가스 또는 질소 함유 가스는 기판의 정면에 제공되는 가스와 동일할 수 있거나, 또는 일부 실시예들에서는 그와 상이할 수 있다(예를 들어, 산소 함유 가스가 위에서 설명된 기판의 정면에 유동될 수 있다)
제1 가스는 처리 동안 지지 링으로부터 기판(200)을 이탈시키기에 충분할 정도로 큰 압력 차이를 야기하지 않으면서, 충분한 양의 산소 또는 질소 함유 가스를 제공하는 데에 적합한 임의의 유량으로 제공될 수 있다. 예를 들어, 압력 차이는 이하의 수학식을 이용하여 계산될 수 있다:
Figure pct00001
여기에서, Mw는 웨이퍼(기판)의 질량이고, g는 중력이고, Pfs 및 Pfb는 각각 기판의 정면(208) 및 후면(206)에서의 압력이고, Aw는 웨이퍼의 면적이다. 예를 들어, 기판이 300mm 웨이퍼인 실시예들에서, 지지 링으로부터 기판(200)을 이탈시키지 않으면서 제1 가스를 제공하는 데에 적합한 압력 차이는 약 2 Torr 미만이다. 따라서, 일부 실시예들에서, 제1 가스는 약 50 내지 약 500 sccm의 유량으로 제공될 수 있다. 일부 실시예들에서, 제1 가스의 유량은 기판(200)에의 고압 가스의 초기 버스트(initial burst)를 방지하기 위해 일정 기간에 걸쳐(over a period of time) 증가될 수 있다. 그러한 실시예들에서, 제1 가스는 약 10 내지 약 50 sccm의 제1 유량에서 제공될 수 있고, 약 1 내지 약 5초의 기간에 걸쳐서 약 300 내지 약 500 sccm의 제2 유량까지 증가될 수 있다.
예를 들어 제1 가스의 요구되는 유량이 기판(200)의 이탈을 야기할 압력 차를 생성하는 일부 실시예들에서, 기판(200)의 후면(206)에 인가되는 압력을 오프셋하기 위해, 반사기 플레이트 내에 배치된 하나 이상의 관통 홀 중 하나 이상에 진공이 적용될 수 있다.
다음으로, 단계(106)에서, 도 2b에 도시된 바와 같이, 기판(200)의 후면(206) 상에 캡핑 층(202)이 선택적으로 형성될 수 있다. 본 발명자들은 기판(200)의 후면(206)에 캡핑 층(202)을 형성함으로써, 기판(200)으로부터의 (예를 들어, 위에서 설명된 것과 같은) 도펀트들의 확산 또는 물질들의 승화가 더 감소되거나 제거될 수 있음을 알아냈다. 캡핑 층(202)은 기판(200) 물질들, 예를 들어 질화물 층, 산화물 층 또는 그와 유사한 것과 반응하지 않으면서, 기판(200)으로부터의 (예를 들어, 위에서 설명된 것과 같은) 도펀트들의 확산 또는 물질들의 승화를 방지하는 데에 적합한 임의의 프로세스 호환가능한 물질들(process-compatible materials)을 포함할 수 있다. 예를 들어, 기판(200)이 실리콘 함유 기판(200)인 실시예들에서, 캡핑 층(202)은 실리콘 질화물(SiN)을 포함할 수 있다.
캡핑 층(202)을 형성하기 위해, 단계(108)에서 제1 가스로부터 플라즈마가 형성될 수 있다. 플라즈마는 기판(200)을 처리하기 위해 이용되는 동일 프로세스 챔버 내에서 형성될 수도 있고, 아니면, 일부 실시예들에서는 기판을 처리하는 데에 이용되는 프로세스 챔버와는 다른 프로세스 챔버 내에서 형성된 다음, 프로세스 챔버에 후속하여 제공될 수도 있다(예를 들어, 원격 플라즈마).
플라즈마는 예를 들어 플라즈마를 만들어내는 데에 적절한 조건들 하에서, 프로세스 챔버(예를 들어, 기판을 처리하는 데에 이용되는 프로세스 챔버, 또는 원격 플라즈마 챔버) 내의 제1 가스에 일부 에너지를 결합시켜서 제1 가스를 점화(igniting)함으로써 형성될 수 있다. 일부 실시예들에서, 제1 가스에 결합되는 에너지는 약 3000W까지의 DC 에너지를 포함할 수 있다. 대안적으로, 또는 그와 함께, 일부 실시예들에서, RF 에너지는 약 2 MHz 내지 약 3 GHz의 주파수에서 약 10,000W까지 공급될 수 있다. 예를 들어, 일부 실시예들에서, 가스 공급부(331)는 제1 가스를 프로세스 챔버에 제공하기 전에 제1 가스로부터 플라즈마를 형성하기 위한 원격 플라즈마 챔버일 수 있다.
상술한 것에 더하여, 플라즈마를 점화하거나 유지하기 위해, 추가의 프로세스 파라미터들이 이용될 수 있다. 예를 들어, 일부 실시예들에서, 프로세스 챔버는 약 10 내지 약 5000 mTorr의 압력으로 유지될 수 있다. 추가로, 일부 실시예들에서, 프로세스 챔버는 섭씨 약 500 내지 약 1100도의 온도로 유지될 수 있다.
다음으로, 단계(110)에서, 기판의 후면(206)은 플라즈마 내에 형성된 여기 종들(excited species)에 노출되어, 기판(200)의 후면(206) 상에 캡핑 층(202)을 형성할 수 있다. 기판(200)의 후면(206)은 원하는 두께로 캡핑 층(202)을 형성하는 데에 필요한 임의의 시간량 동안 여기 종들에 노출될 수 있다. 예를 들어, 일부 실시예들에서, 기판(200)의 후면(206)은 약 10 내지 약 60초의 기간 동안 플라즈마에 노출될 수 있다. 일부 실시예들에서, 캡핑 층(202)은 약 5 내지 약 30 옹스트롬의 두께로 형성될 수 있다.
상술한 것에 더하여, 캡핑 층을 형성하기 위해, 추가의 프로세스 파라미터들이 이용될 수 있다. 예를 들어, 일부 실시예들에서, 프로세스 챔버는 약 10 내지 약 5000 mTorr의 압력으로 유지될 수 있다. 추가로, 일부 실시예들에서, 프로세스 챔버는 섭씨 약 200 내지 약 1100도의 온도로 유지될 수 있다.
단계(104)에서 제1 가스를 제공한 것에 후속하여(또는 선택적으로 단계(106)에서 캡핑 층(202)을 형성한 것에 후속하여), 방법은 일반적으로 종료하고, 기판(200)은 요구되는 대로 더 처리될 수 있다. 예를 들어, 일부 실시예들에서, 예를 들어 기판(200) 상에 반도체 디바이스를 형성하거나, 광전지(photovoltaic cell)(PV), 발광 다이오드(LED), 또는 디스플레이(예를 들어, 액정 디스플레이(LCD), 플라즈마 디스플레이, 전계발광(EL) 램프 디스플레이 또는 그와 유사한 것)과 같은 것을 포함하지만 그에 한정되지는 않는 응용들에서 이용하도록 기판(200)을 준비하기 위해, 추가의 층 퇴적, 식각, 어닐링 또는 그와 유사한 것과 같은 추가의 프로세스들이 기판(200)에 대해 수행될 수 있다.
도 3은 본 발명의 일부 실시예들에 따라 본 발명의 방법을 수행하는 데에 적합한 프로세스 챔버를 도시한다. 프로세스 챔버(300)는 예를 들어 급속 열 처리(RTP)와 같은 열 처리를 위해 구성된 임의의 적합한 프로세스 챔버, 또는 위에서 설명된 프로세스 챔버들 중 임의의 것일 수 있다.
기판(200)은 프로세스 챔버(300) 내에서 기판 지지부(308) 상에 탑재되고, 기판 지지부(308)에 반대되는 위치에 배치된 램프 헤드(301)에 의해 가열된다. 램프 헤드(301)는 기판(200)의 정면(208)으로 지향되는 방사를 생성한다. 대안적으로(도시되지 않음), 램프 헤드(301)는 예를 들어 기판(200) 아래에 배치되는 것에 의해, 또는 기판(200)의 후면에 방사를 지향시키는 것에 의해, 기판(200)의 후면(206)을 가열하도록 구성될 수 있다. 방사는 수냉식 석영 윈도우 어셈블리(water-cooled quartz window assembly)(314)를 통해 프로세스 챔버(300)에 들어간다. 기판(200)의 아래에는, 수냉식 스테인레스 스틸 베이스(316) 상에 탑재되는 반사기 플레이트(302)가 있다. 베이스(316)는 순환 회로(346)를 포함하며, 순환 회로(346)를 통해 냉각제가 순환하여 반사기 플레이트(302)를 냉각시킨다. 일부 실시예들에서, 반사기 플레이트(302)는 알루미늄으로 만들어지고, 고반사성 표면 코팅(320)을 갖는다. 반사기 플레이트(302)의 온도를 가열되는 기판(200)의 온도보다 상당히 낮게 유지하기 위해, 베이스(316)를 통해 물이 순환될 수 있다. 대안적으로, 다른 냉각제들이 동일하거나 상이한 온도들에서 제공될 수 있다. 예를 들어, 부동액(예를 들어, 에틸렌 글리콜(ethylene glycol), 프로필렌 글리콜(propylene glycol), 또는 그와 유사한 것) 또는 다른 열 전달 유체(heat transfer fluid)가 베이스(316)를 통해 순환될 수 있고/거나, 베이스(316)가 냉각장치(chiller)(도시되지 않음)에 연결될 수 있다. 기판(200)의 밑면 또는 후면, 및 반사기 플레이트(302)의 최상부가 반사 공동(reflecting cavity)(318)을 형성한다. 반사 공동(318)은 기판(200)의 유효 방사율(effective emissivity)을 증대시킨다.
기판(200)의 로컬화된 영역들에서의 온도들은 복수의 온도 프로브(예를 들어, 352a, 352b 및 352c)에 의해 측정된다. 각각의 온도 프로브는 베이스(316)의 후면으로부터 반사기 플레이트(302)의 최상부를 통해 연장되는 관통 홀(327)을 통과하는 광 파이프(324)를 포함한다. 광 파이프(324)는 그것의 최상위 단부가 반사기 플레이트(302)의 상부 표면과 동일 높이이거나 그보다 약간 아래에 있도록 관통 홀(327) 내에 배치된다. 광 파이프(324)의 다른 단부는 샘플링된 광을 반사 공동(318)으로부터 고온계(328)에 전달하는 가요성 광 섬유(325)에 연결된다. 고온계(328)는 측정된 온도에 응답하여 램프 헤드(301)에 공급되는 전력을 제어하는 온도 제어기(350)에 접속된다. 램프들은 복수의 구역(zone)으로 분할될 수 있다. 기판(200)의 상이한 영역들의 제어된 방사 가열(controlled radiative heating)을 허용하기 위해, 구역들이 제어기에 의해 개별적으로 조절될 수 있다.
위에서 설명된 바와 같이, 각각의 광 파이프를 수용하도록 구성된 관통 홀(327)에 더하여, 베이스(316) 및 반사기 플레이트(302)는 처리를 용이하게 하는 다른 메커니즘들, 예를 들어 리프트 핀들(lift pins) 또는 그와 유사한 것을 수용하도록 구성된 하나 이상의 추가의 관통 홀(하나의 추가의 관통 홀(351)이 도시됨)을 포함할 수 있다.
처리 동안, 제1 가스는 가스 패널(예를 들어, 가스 공급부(229))로부터 유동될 수 있고, 유입구(330)(예를 들어, 제1 유입구)에서 프로세스 챔버(300)에 들어갈 수 있다. 유입구(330)는 프로세스 챔버(300)의 측면에 배치되고, 기판(200)의 표면에 걸친 제1 가스의 유동을 용이하게 한다. 본 발명자들은 유입구(330)로부터 제1 가스를 제공하는 것이 기판(200)의 정면(208)으로부터 후면(206)으로의 가스 전도 차이를 야기할 수 있음을 알아냈다. 예를 들어, 제1 가스가 유입구(330)를 통해 기판(200)의 정면(208)에 제공될 때, 제1 가스는 기판(200)의 정면(208)을 직접 가로지르는 제1 유동 경로, 및 기판(200)의 후면(206)에의 간접적인 제2 유동 경로로 흐른다. 제1 유동 경로와 제2 유동 경로 사이의 유동 전도도 차이(flow conductance difference)는 기판(200)의 정면(208)에 비교하여 낮은 농도의 제1 가스가 기판의 후면(206)에 도달하게 한다. 본 발명자들은 이러한 낮은 농도의 제1 가스가, 예를 들어 위에서 설명된 바와 같은 기판(200)의 후면(206)으로부터의 도펀트들의 확산 또는 물질들의 승화와 같은 프로세스 약점들로 이어질 수 있음을 알아냈다.
따라서, 일부 실시예들에서, 제1 가스는 기판의 후면 부근의 제2 유입구를 통해 기판(200)의 후면에 제공될 수 있다. 예를 들어, 일부 실시예들에서, 제1 가스는 반사기 플레이트(302)의 관통 홀들(예를 들어, 관통 홀들(327, 351)) 중 하나 이상을 통해 기판의 후면에 제공될 수 있다.
일부 실시예들에서, 제1 가스는 가스 공급부(331)를 통해 관통 홀들(327, 351) 중 하나 이상에 제공될 수 있다. 별도의 가스 공급부들로서 도시되어 있긴 하지만, 가스 공급부(229) 및 가스 공급부(331)는 필요에 따라 기판의 정면 또는 후면에 제1 가스를 제공하기 위한 독립적인 유동 제어기들을 갖는 동일한 가스 공급부일 수 있다. 일부 실시예들에서, 밸브(329)는 제1 가스들을 배기구(exhaust) 또는 관통 홀들(327, 351) 중 하나에 지향시키는 것을 용이하게 하기 위해, 하나 이상의 관통 홀에 연결될 수 있으며, 예를 들면 가스 공급부(331)와 관통 홀들(327, 351) 사이에 배치될 수 있다. 가스 공급부(331)와 관통 홀들(327, 351) 사이에 밸브(329)를 제공함으로써, 가스 공급부(331)가 일정한 "온" 상태로 유지되어, 제1 가스를 관통 홀들(327, 351) 또는 배기구에 제공할 수 있고, 그에 의해 더 균일한 압력을 유지하고, 관통 홀들(327, 351)을 향해 지향될 때의 제1 가스의 유동의 시작에서 가스 공급부로부터의 고압 버스트의 경우들을 감소시킨다.
관통 홀들(327, 351) 중 하나 이상을 통해 제1 가스를 제공함으로써, 도 4에 도시된 것과 같이, 기판(200) 위의 유동 경로(404)에 더하여, 기판(200)의 아래에 유동 경로(402)가 생성된다. 본 발명자들은 유동 경로(402)를 제공하는 것이 기판(200)의 정면(208)으로부터 후면(206)으로의 가스 유동 전도도 차이를 감소시키거나 제거할 수 있으며, 그에 의해 기판(200)의 후면(206)에 더 높은 농도의 제1 가스를 제공하는 것을 용이하게 하고, 따라서 위에서 논의된 것과 같은 프로세스 약점들을 감소시키거나 제거할 수 있음을 알아냈다.
일부 실시예들에서, 기판(200)의 후면 부근의 영역에 진공이 제공될 수 있다. 일부 실시예들에서, 관통 홀들 중 하나 이상(예를 들어, 관통 홀(327))에 진공이 제공되면서, 하나 이상의 관통 홀 중 다른 것(예를 들어, 관통 홀(351))에 제1 가스가 제공될 수 있고, 그에 의해 예를 들어 도 5의 유동 경로(502)에 의해 도시된 바와 같이, 하나 이상의 관통 홀 중의 제1 관통 홀(예를 들어, 관통 홀(327))로부터 하나 이상의 관통 홀 중의 제2 관통 홀(예를 들어, 관통 홀(351))로의 유동 경로를 생성한다. 관통 홀들 중 하나 이상에 진공을 제공함으로써, 기판(200)의 후면(206)에 가해지는 압력의 양이 감소될 수 있고, 그에 의해 기판(200)의 정면(208)과 후면(206) 사이의 압력 차이를 감소시키고, 따라서 (위에서 설명된 바와 같이) 기판(200)이 기판 지지부로부터 이탈할 위험을 감소시킨다. 그러한 실시예들에서, 예를 들어 러핑 펌프(roughing pump) 또는 진공 펌프와 같은 펌프(333)가 관통 홀들 중 하나 이상에 연결될 수 있다. 일부 실시예들에서, 펌프(333)에의 공기 유동의 제약을 방지하기 위해, 진공이 적용되는 관통 홀은 다른 관통 홀들보다 큰 직경을 가질 수 있다. 펌프(333), 및 펌프를 하나 이상의 관통 홀에 연결하는 도관들은 위에서 논의된 압력 차이를 제공하기에 충분한 전도도 및 출력(power)을 갖는다.
다시 도 3을 참조하면, 기판 지지부(308)는 정지되어 있도록 구성될 수도 있고, 기판(200)을 회전시킬 수도 있다. 기판 지지부(308)는 기판의 외측 경계 부근에서 기판(200)과 접촉하는 지지 링(334) 또는 에지 링을 포함하고, 그에 의해 외측 경계 부근의 작은 환형 영역을 제외하고, 기판(200)의 밑면 전체를 노출된 채로 남겨둔다. 지지 링(334)은 에지 링으로서도 알려져 있고, 이러한 2개의 용어는 본 명세서 내에서 상호교환가능하게 이용될 수 있다. 처리 동안 기판(200)의 에지에서 발생할 수 있는 열적 불연속들(thermal discontinuities)을 최소화하기 위해, 지지 링(334)은 기판(200)과 동일하거나 유사한 물질, 예를 들어 실리콘으로 만들어질 수 있다.
일부 실시예들에서, 지지 링(334)은 고온계(328)의 주파수 범위에서 불투명하도록 실리콘으로 코팅된 회전가능한 튜브형 실린더(336) 위에 놓일 수 있다. 실린더(336) 상의 코팅은 강도 측정을 오염시킬 수 있는 외부 소스들로부터의 방사를 차단하는 배플의 역할을 한다. 실린더(336)의 바닥은 복수의 볼 베어링(337) 상에 놓이는 환형 상부 베어링(341)에 의해 유지(hold)되고, 그 복수의 볼 베어링은 결국 정지된 환형의 하부 베어링 레이스(stationary, annular, lower bearing race)(339) 내에 유지된다. 일부 실시예들에서, 볼 베어링(337)은 강철로 만들어지고, 동작 동안의 미립자 형성을 감소시키기 위해 실리콘 질화물로 코팅된다. 상부 베어링(341)은 열 처리 동안 실린더(336), 지지 링(334) 및 기판(200)을 회전시키는 액추에이터(도시되지 않음)에 자기적으로 연결된다.
챔버 바디에 들어맞는 퍼지 링(purge ring)(345)이 실린더(336)를 둘러싼다. 일부 실시예들에서, 퍼지 링(345)은 상부 베어링(341) 위의 영역에 개방되는 내부 환형 공동(347)을 갖는다. 내부 공동(347)은 통로(349)를 통해 가스 공급부(도시되지 않음)에 접속된다. 처리 동안, 퍼지 가스가 퍼지 링(345)을 통해 챔버 내로 유입된다.
일부 실시예들에서, 지지 링(334)은 실린더(336)의 반경보다 큰 외측 반경을 가져서, 실린더(336)를 넘어서 연장된다. 실린더(336)를 넘어서는 지지 링(334)의 환형 연장부는, 그 아래에 위치된 퍼지 링(345)과 협동하여, 미광(stray light)이 기판(200)의 후면에서 반사 공동(318)에 들어가는 것을 방지하는 배플의 기능을 한다. 미광이 반사 공동(318)에 들어갈 가능성을 더 감소시키기 위해, 지지 링(334) 및 퍼지 링(345)은 또한 램프 헤드(301)에 의해 발생되는 방사를 흡수하는 물질(예를 들어, 흑색 또는 회색 물질)로 코팅될 수 있다.
기판 지지부(308)는 램프 헤드(301)에 대하여 기판을 상승 및 하강시킬 수 있는 리프트 메커니즘(355)에 연결될 수 있다. 예를 들어, 기판 지지부(308)는 리프팅 모션 동안 기판(200)과 반사기 플레이트(302) 사이의 거리가 일정하도록 리프트 메커니즘(355)에 연결될 수 있다.
일부 실시예들에서, 기판 지지부(308)는 프로세스 챔버(300) 내에서 자기 부상되어 회전하도록 적응될 수 있다(도시되지 않음). 기판 지지부(308)는 처리 동안 수직으로 상승 및 하강하면서 회전할 수 있고, 또한 처리 전에, 처리 동안, 또는 처리 후에, 회전 없이 상승 또는 하강될 수도 있다. 이러한 자기 부상 및/또는 자기 회전은 기판 지지부를 상승/하강 및/또는 회전시키는 데에 전형적으로 요구되는 이동 부분들의 부재 또는 감소로 인한 입자 생성을 방지하거나 최소화한다.
이와 같이, 기판을 처리하기 위한 방법 및 장치가 본 명세서에 제공되었다. 본 발명의 실시예들은 기판을 처리하기 위한 방법을 제공한다. 일부 실시예들에서, 본 발명은 기판의 후면으로의 제1 가스의 적절한 유동을 용이하게 하여, 기판으로부터의 도펀트들의 확산 또는 물질들의 승화를 감소시킬 수 있고, 그에 의해 프로세스 챔버의 표면들 상에 물질이 퇴적하는 것을 방지하며, 따라서 프로세스 효율을 증가시킨다. 일부 실시예들에서, 본 발명은 기판의 후면 상의 캡핑 층의 퇴적을 제공할 수 있고, 그에 의해 기판으로부터의 도펀트들의 확산 또는 물질들의 승화를 더 감소시킨다.
전술한 것은 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 실시예들 및 추가의 실시예들이 그의 기본 범위로부터 벗어나지 않고 만들어질 수 있다.

Claims (15)

  1. 프로세스 챔버 내에 배치된 기판을 처리하는 방법으로서,
    기판을 지지하도록 구성된 기판 지지 링(substrate support ring) 및 상기 기판의 후면 부근에 배치된 반사기 플레이트(reflector plate)를 갖는 프로세스 챔버 내에 배치된 기판에 대해 프로세스를 수행하는 단계;
    상기 기판에 대해 상기 프로세스를 수행하면서, 상기 반사기 플레이트 내에 배치된 하나 이상의 관통 홀(through hole)을 통해 상기 기판의 후면에 산소 함유 가스 또는 질소 함유 가스 중 하나를 포함하는 제1 가스를 제공하는 단계; 및
    상기 프로세스 챔버를 상기 기판의 최상부면 부근에서는 제1 압력으로, 상기 기판의 최하부면 부근에서는 제2 압력으로 유지하는 단계
    를 포함하고, 상기 제1 압력은 처리 동안 상기 기판 지지 링으로부터의 상기 기판의 이탈(dislodgement)을 방지하기에 충분하게 상기 제2 압력보다 큰, 기판 처리 방법.
  2. 제1항에 있어서,
    상기 기판의 후면으로부터 멀어지는 상기 제1 가스의 유동을 생성하여 상기 기판의 후면에 인가되는 압력의 양을 감소시키기 위해, 상기 하나 이상의 관통 홀 중 하나 이상에 진공을 적용하는 단계를 더 포함하는, 기판 처리 방법.
  3. 제1항에 있어서, 상기 제1 압력은 상기 제2 압력보다 적어도 2 Torr 더 큰, 기판 처리 방법.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 하나 이상의 관통 홀은 리프트 핀들(lift pins) 또는 온도 센서들 중 적어도 하나를 수용하도록 구성되는, 기판 처리 방법.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 프로세스는 어닐링 프로세스인, 기판 처리 방법.
  6. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 제1 가스를 상기 기판의 후면에 제공하는 것과 동시에, 상기 제1 가스를 상기 기판의 정면에 제공하는 단계를 더 포함하는, 기판 처리 방법.
  7. 제1항 내지 제3항 중 어느 한 항에 있어서, 상기 제1 가스를 제공하는 단계는,
    상기 제1 가스를 제1 유량(flow rate)으로 제공하는 단계; 및
    상기 제1 유량을 일정 기간에 걸쳐(over a period of time) 제2 유량까지 증가시키는 단계
    를 포함하는, 기판 처리 방법.
  8. 제1항 내지 제3항 중 어느 한 항에 있어서,
    상기 제1 가스로부터 플라즈마를 형성하는 단계; 및
    상기 기판의 후면을 상기 플라즈마에 의해 형성된 여기 종들(excited species)에 노출시킴으로써 상기 기판의 후면 상에 캡핑 층(capping layer)을 형성하는 단계
    를 더 포함하는, 기판 처리 방법.
  9. 제8항에 있어서, 상기 제1 가스로부터 플라즈마를 형성하는 단계는,
    원격 플라즈마 챔버 내에서 플라즈마를 형성하는 단계; 및
    상기 플라즈마를 상기 프로세스 챔버에 제공하는 단계
    를 포함하는, 기판 처리 방법.
  10. 제8항에 있어서, 상기 캡핑 층은 질화물 층 또는 산화물 층 중 하나인, 기판 처리 방법.
  11. 실행될 때, 제1항 내지 제3항 중 어느 한 항에 기재된 기판 처리 방법이 프로세스 챔버 내에서 수행되게 하는 명령어들이 저장되어 있는 컴퓨터 판독가능한 매체.
  12. 기판을 처리하기 위한 장치로서,
    기판을 지지하도록 구성된 기판 지지 링 및 상기 기판의 후면 부근에 배치된 반사기 플레이트를 갖는 프로세스 챔버를 포함하고, 상기 반사기 플레이트는 복수의 관통 홀을 갖고;
    상기 반사기 플레이트 내에 배치된 상기 복수의 관통 홀 중 적어도 하나는 상기 기판의 후면 표면 부근의 영역에 제1 가스를 제공하기 위한 유입구(inlet)이고;
    상기 반사기 플레이트 내에 배치된 상기 복수의 관통 홀 중 적어도 하나는 상기 기판의 상기 후면 표면으로부터 나가는 가스들의 유동을 생성하기 위한 유출구(outlet)인, 기판 처리 장치.
  13. 제12항에 있어서,
    상기 유입구에 연결된 가스 공급부(gas supply); 및
    상기 유출구에 연결된 진공 펌프
    를 더 포함하는, 기판 처리 장치.
  14. 제12항 또는 제13항에 있어서,
    상기 기판의 정면 표면 부근의 영역에 상기 제1 가스를 제공하기 위해 상기 프로세스 챔버 내에 배치된 제2 유입구를 더 포함하는, 기판 처리 장치.
  15. 제12항 또는 제13항에 있어서,
    상기 복수의 관통 홀 중 적어도 일부에 배치된 리프트 핀들 또는 온도 센서들 중 적어도 하나를 더 포함하는, 기판 처리 장치.
KR1020147022262A 2012-01-13 2013-01-10 기판을 처리하기 위한 방법 및 장치 KR20140121833A (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201261586186P 2012-01-13 2012-01-13
US61/586,186 2012-01-13
US13/737,350 US8980767B2 (en) 2012-01-13 2013-01-09 Methods and apparatus for processing a substrate
US13/737,350 2013-01-09
PCT/US2013/021006 WO2013106552A1 (en) 2012-01-13 2013-01-10 Methods and apparatus for processing a substrate

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020207021970A Division KR20200093702A (ko) 2012-01-13 2013-01-10 기판을 처리하기 위한 방법 및 장치

Publications (1)

Publication Number Publication Date
KR20140121833A true KR20140121833A (ko) 2014-10-16

Family

ID=48780267

Family Applications (3)

Application Number Title Priority Date Filing Date
KR1020147022262A KR20140121833A (ko) 2012-01-13 2013-01-10 기판을 처리하기 위한 방법 및 장치
KR2020207000049U KR200496202Y1 (ko) 2012-01-13 2013-01-10 기판을 처리하기 위한 방법 및 장치
KR1020207021970A KR20200093702A (ko) 2012-01-13 2013-01-10 기판을 처리하기 위한 방법 및 장치

Family Applications After (2)

Application Number Title Priority Date Filing Date
KR2020207000049U KR200496202Y1 (ko) 2012-01-13 2013-01-10 기판을 처리하기 위한 방법 및 장치
KR1020207021970A KR20200093702A (ko) 2012-01-13 2013-01-10 기판을 처리하기 위한 방법 및 장치

Country Status (6)

Country Link
US (2) US8980767B2 (ko)
JP (1) JP2015510260A (ko)
KR (3) KR20140121833A (ko)
CN (1) CN107464751B (ko)
TW (1) TWI579922B (ko)
WO (1) WO2013106552A1 (ko)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6065366B2 (ja) * 2012-01-30 2017-01-25 富士通セミコンダクター株式会社 半導体装置の製造方法
KR102535623B1 (ko) 2013-11-12 2023-05-26 어플라이드 머티어리얼스, 인코포레이티드 고온계 배경 제거
US9881788B2 (en) * 2014-05-22 2018-01-30 Lam Research Corporation Back side deposition apparatus and applications
CN106298581B (zh) * 2015-05-13 2020-10-13 盛美半导体设备(上海)股份有限公司 光辐射加热刻蚀装置及方法
US10260149B2 (en) * 2016-04-28 2019-04-16 Applied Materials, Inc. Side inject nozzle design for processing chamber
KR102528559B1 (ko) * 2016-07-26 2023-05-04 삼성전자주식회사 대면적 기판 제조 장치
US10851457B2 (en) 2017-08-31 2020-12-01 Lam Research Corporation PECVD deposition system for deposition on selective side of the substrate
CN110119072B (zh) * 2018-02-06 2021-05-14 志圣科技(广州)有限公司 曝光组件及曝光装置
KR20230156441A (ko) 2019-08-16 2023-11-14 램 리써치 코포레이션 웨이퍼 내에서 차동 보우를 보상하기 위한 공간적으로 튜닝 가능한 증착
US20230238269A1 (en) * 2022-01-21 2023-07-27 Applied Materials, Inc. Rotatable thermal processing chamber

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100188454B1 (ko) * 1991-05-28 1999-06-01 이노우에 아키라 기판 처리 장치
US5660472A (en) * 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US6465043B1 (en) * 1996-02-09 2002-10-15 Applied Materials, Inc. Method and apparatus for reducing particle contamination in a substrate processing chamber
US5960555A (en) * 1996-07-24 1999-10-05 Applied Materials, Inc. Method and apparatus for purging the back side of a substrate during chemical vapor processing
US5879128A (en) * 1996-07-24 1999-03-09 Applied Materials, Inc. Lift pin and support pin apparatus for a processing chamber
US5920797A (en) * 1996-12-03 1999-07-06 Applied Materials, Inc. Method for gaseous substrate support
US6413871B2 (en) * 1999-06-22 2002-07-02 Applied Materials, Inc. Nitrogen treatment of polished halogen-doped silicon glass
US6803546B1 (en) * 1999-07-08 2004-10-12 Applied Materials, Inc. Thermally processing a substrate
US6375748B1 (en) * 1999-09-01 2002-04-23 Applied Materials, Inc. Method and apparatus for preventing edge deposition
JP2001102321A (ja) * 1999-09-17 2001-04-13 Applied Materials Inc 半導体製造装置における基板加熱方法及び半導体製造装置
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
JP2002057209A (ja) * 2000-06-01 2002-02-22 Tokyo Electron Ltd 枚葉式処理装置および枚葉式処理方法
WO2002005323A2 (en) * 2000-07-06 2002-01-17 Applied Materials, Inc. Thermally processing a substrate
US6521292B1 (en) 2000-08-04 2003-02-18 Applied Materials, Inc. Substrate support including purge ring having inner edge aligned to wafer edge
JP3494435B2 (ja) * 2001-02-27 2004-02-09 東京エレクトロン株式会社 基板処理装置
JP2003077851A (ja) * 2001-08-28 2003-03-14 Applied Materials Inc 熱処理方法及び装置
US20040266123A1 (en) 2002-05-08 2004-12-30 Applied Materials, Inc. Electron beam treatment of SixNy films
JP4186536B2 (ja) * 2002-07-18 2008-11-26 松下電器産業株式会社 プラズマ処理装置
JP4765328B2 (ja) 2004-04-16 2011-09-07 東京エレクトロン株式会社 被処理体の処理装置
US7860379B2 (en) * 2007-01-15 2010-12-28 Applied Materials, Inc. Temperature measurement and control of wafer support in thermal processing chamber
US8580078B2 (en) * 2007-01-26 2013-11-12 Lam Research Corporation Bevel etcher with vacuum chuck
US8057602B2 (en) * 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
CN101796626B (zh) 2007-09-06 2012-02-01 创意科技股份有限公司 静电吸盘装置中的气体供给结构的制造方法及静电吸盘装置气体供给结构以及静电吸盘装置
WO2009085992A2 (en) 2007-12-20 2009-07-09 Applied Materials, Inc. Thermal reactor with improved gas flow distribution
JP2009231401A (ja) 2008-03-21 2009-10-08 Tokyo Electron Ltd 載置台構造及び熱処理装置
EP3573092B1 (en) * 2008-05-02 2021-12-22 Applied Materials, Inc. System for non radial temperature control for rotating substrates
US8254767B2 (en) * 2008-08-29 2012-08-28 Applied Materials, Inc. Method and apparatus for extended temperature pyrometry
TWM478017U (zh) * 2013-09-05 2014-05-11 Sankitai Co Ltd 料件回吹分離裝置

Also Published As

Publication number Publication date
TWI579922B (zh) 2017-04-21
US8980767B2 (en) 2015-03-17
KR200496202Y1 (ko) 2022-11-29
WO2013106552A1 (en) 2013-07-18
CN107464751A (zh) 2017-12-12
US20130183834A1 (en) 2013-07-18
CN107464751B (zh) 2020-09-11
KR20200093702A (ko) 2020-08-05
US20150206721A1 (en) 2015-07-23
KR20200001978U (ko) 2020-09-08
TW201335997A (zh) 2013-09-01
JP2015510260A (ja) 2015-04-02
CN104025280A (zh) 2014-09-03

Similar Documents

Publication Publication Date Title
KR200496202Y1 (ko) 기판을 처리하기 위한 방법 및 장치
KR102269469B1 (ko) 인젝터 대 기판 갭 제어를 위한 장치 및 방법들
US8057602B2 (en) Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
US8039051B2 (en) Method and apparatus for hydrogenation of thin film silicon on glass
US20100163183A1 (en) Mounting table structure and heat treatment apparatus
US20060228818A1 (en) Edge temperature compensation in thermal processing particularly useful for SOI wafers
CN101866825B (zh) 衬底处理设备、衬底退火方法及半导体器件制造方法
JPWO2007018139A1 (ja) 半導体装置の製造方法および基板処理装置
US8172950B2 (en) Substrate processing apparatus and semiconductor device producing method
JP2012146741A (ja) 半導体装置の製造方法および基板処理装置
JPH1083965A (ja) 熱処理方法及びその装置
TWI492305B (zh) 製造半導體裝置之方法及設備
JP2007073865A (ja) 熱処理装置
JP2012054408A (ja) 基板処理装置及び被処理基板の製造方法
CN104025280B (zh) 用于处理基板的方法和设备
JP2005259902A (ja) 基板処理装置
JP2009016532A (ja) 基板処理装置及び半導体装置の製造方法
TWI833760B (zh) 摻雜基底的方法與用於摻雜基底的裝置
JP2006080294A (ja) 基板の製造方法
JP2004228459A (ja) ウエーハの熱処理方法及び熱処理装置並びに熱処理用ボート
JP2013201333A (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
JP2023131971A (ja) エッチング方法、および、エッチング装置
JP2006332705A (ja) 基板保持部及び熱処理装置
JP2006100303A (ja) 基板の製造方法及び熱処理装置
JP2006093585A (ja) 基板処理装置

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application
E601 Decision to refuse application
E801 Decision on dismissal of amendment
A107 Divisional application of patent