KR20130100072A - 탄소계 하드마스크를 개방하기 위한 프로세스 - Google Patents

탄소계 하드마스크를 개방하기 위한 프로세스 Download PDF

Info

Publication number
KR20130100072A
KR20130100072A KR1020130089469A KR20130089469A KR20130100072A KR 20130100072 A KR20130100072 A KR 20130100072A KR 1020130089469 A KR1020130089469 A KR 1020130089469A KR 20130089469 A KR20130089469 A KR 20130089469A KR 20130100072 A KR20130100072 A KR 20130100072A
Authority
KR
South Korea
Prior art keywords
carbon
layer
etching
substrate
dielectric layer
Prior art date
Application number
KR1020130089469A
Other languages
English (en)
Inventor
주디 왕
싱리 성
샤우밍 마
브라이언 푸
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20130100072A publication Critical patent/KR20130100072A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Abstract

바람직하게는 적어도 60 원자%의 탄소와 10 원자% 내지 40 원자%의 수소를 포함하고 유전체층(16) 위에 놓이는 비정질 탄소로 이루어진 탄소계 하드마스크 층(18)을 개방시키는 방법이 개시된다. 상기 하드마스크는 H2, N2, 및 CO로 이루어진 에칭 가스를 이용하여 플라즈마 에칭에 의해 개방된다. 상기 에칭은 바람직하게는 HF 바이어싱된 페디스털 전극 및 용량성으로 VHF 바이어싱된 샤워헤드를 갖는 플라즈마 에칭 반응기에서 수행된다.

Description

탄소계 하드마스크를 개방하기 위한 프로세스{PROCESS TO OPEN CARBON BASED HARDMASK}
본 발명은 일반적으로 반도체 집적 회로들의 에칭에 관한 것이다. 특히, 본 발명은 높은 탄소 분율(fraction)을 포함하는 마스크들의 에칭에 관한 것이다.
플라즈마 에칭은 실리콘 집적 회로의 구조물(structure)을 규정(definition)하는데 사용되는 하나의 프로세스이다. 일례는 수직 금속 상호접속(interconnect) 구조물을 형성하기 위해 유전체 층을 통한 비아 홀들의 에칭을 포함하고, 몇몇 개선된 설계들에서 수평 상호접속 구조물을 동시에 형성할 수 있다. 유전체 층은 기존에, 또한 산화물(oxide)로도 불리는, 실리콘 이산화물 기반 물질로 형성된다. 보다 개선된 유전체들은 유전상수를 감소시키기 위해 불소 또는 다른 도펀트(dopant)들을 포함하였다. 그러나 다른 유전체 조성물들도 사용될 수 있다. 긴 시간 확립되는(established) 포토리소그래픽 프로세스는 일반적으로 평면인 포토레지스트 물질의 층을 패터닝되지 않은(unpatterned) 산화물 상에 증착하고 이들 사이에는 아마도 반사-방지 코팅(ARC)을 가질 수도 있다. 포토레지스트는 목표된(desired) 패턴에 따라 광학적으로 패터닝된 다음, 포지티브 리소그래피에서 노출(expose)되지 않은 포토레지스트 또는 네거티브 리소그래피에서 노출된 포토레지스트를 제거하도록 현상된다. 그 다음, 패터닝된 포토레지스트는 노출된 산화물 및 중간의 ARC가 존재한다면 이를 에칭하는 추가적인 단계를 위한 마스크로서 작용한다. 산화물과 포토레지스트 사이의 합리적인 에칭 선택도(selectivity)를 제공하는 유전체 에칭 프로세스들이 개발되었다.
집적회로 기술의 발전은 산화물 층을 통과하는 비아 홀들과 같은 수평 피쳐(feature)들의 지속적인 수축(shrinkage)에 대부분 의존하여 왔다. 비아 폭들은 현재 100nm 이하로 감소되고 있다. 그러나, 산화물 두께는 약 1㎛에서 안정하게 유지되고 3㎛ 이상의 산화물 두께들이 목표되는 많은 구조물들이 존재한다. 산화물 층에서 에칭될 홀들의 이러한 높은 종횡비(aspect ratio)들은 포토리소그래피 및 에칭간에 몇가지 문제들을 나타내었다. 광학 패터닝에서 심도(depth of field)를 유지하기 위해, 포토레지스트의 두께는 상기 예에서, 예를 들어 100nm와 같이, 산화물 층에 규정(define)되는 피쳐의 크기보다 훨씬 더 크지 않아야 한다. 그 결과, 비아 홀이 그 하부(bottom)로 에칭될 때까지 마스크가 남아있어야 한다면, 에칭 선택도, 즉 포토레지스트 에칭율(etch rate)에 대한 산화물 에칭율의 비율은 10 이상이어야 한다. 그러나, 포토레지스트들은 전형적으로 소프트 유기 물질들을 기반으로 한다. 좁은 비아 홀들에서 수직 프로파일들과 같은 다른 요구조건들을 달성하는 동시에 이러한 높은 선택도의 포토레지스트를 획득하는 것은 달성하기 어려웠다.
KrF 층으로부터의 포토레지스트를 노출시키기 위한 248nm 방사에서, ArF 레이저로부터의 193nm 방사로 리소그래피를 전환(transition)시키는 것이 바람직하다. 그러나, 193nm 방사는 문제들이 있다. 더 짧은 파장들에 민감한 포토레지스트는 일반적으로 더 소프트하고, 포토레지스트의 최대 두께는 일반적으로 더 짧은 파장에서 더 얕은 심도를 수용하기 위해 400nm 미만으로 감소된다.
본 발명의 목적은 높은 탄소 분율을 포함하는 마스크들을 에칭하는 방법을 제공하는 것이다.
본원 발명의 일 양상은 기판 상부에 형성되고 적어도 40 원자% 탄소를 포함하는 탄소-기질 층을 에칭하는 방법으로서, 수소, 질소, 및 일산화 탄소를 포함하는 에칭 가스의 플라즈마에 상기 탄소-기질 층을 노출시키는 단계를 포함한다. 또한 상기 방법에 있어서, 상기 탄소-기질 층은 적어도 60 원자%의 탄소를 포함할 수 있다. 또한, 상기 방법에 있어서, 상기 탄소-기질 층은 10 원자% 내지 40 원자%의 수소를 포함할 수 있다. 또한, 상기 방법에 있어서, 상기 에칭 가스의 활성 컴포넌트들은 본질적으로 수소, 질소, 및 일산화 탄소로 이루어질 수 있다. 또한 상기 방법에 있어서, 상기 에칭 가스는 본질적으로 수소, 질소, 및 일산화 탄소로 이루어질 수 있다. 또한, 상기 방법에 있어서, 상기 수소의 흐름은 상기 질소의 흐름의 50% 내지 300%일 수 있다. 또한, 상기 방법에 있어서, 상기 탄소-기질 층 상부에 형성된 포토레지스트 층을 광학적으로 패터닝하는 단계를 더 포함할 수 있다. 또한, 상기 방법에 있어서, 상기 에칭된 탄소-기질 층을 하드마스크로서 이용하여 상기 탄소-기질 층 하부의 유전체층을 에칭하는 단계를 더 포함할 수 있다. 또한, 상기 방법에 있어서, 정합 회로를 통해 HF 주파수에서 동작하는 RF 전력 공급기에 의해 바이어싱되고 상기 기판을 지지하는 페디스털 전극, 및 상기 페디스털에 대향하는 샤워헤드 전극을 갖는 플라즈마 에칭 챔버에 상기 기판을 배치시키는 단계 - 상기 에칭 가스는 상기 페디스털을 통해 공급되고, 상기 HF 주파수보다 더 높은 VHF 주파수에서 동작하는 제 2 RF 전력 공급기에 의해 바이어싱되며, 상기 샤워헤드 전극에 용량성 결합된 스터브(stub) 회로에 인가됨 - 를 더 포함할 수 있다. 또한, 상기 방법에 있어서, 상기 챔버의 중심 축으로부터 상이한 반경에서 상기 샤워헤드의 후방에 배치된 2개의 동축 코일들을 통해 전류를 통과시키는 단계를 더 포함할 수 있다. 또한, 상기 방법에 있어서, 플라즈마 에칭 챔버에 상기 기판을 배치하고 상기 에칭 동안 50mTorr 이상에서 상기 챔버의 압력을 유지시키는 단계를 더 포함할 수 있다. 또한, 상기 방법에 있어서, 상기 챔버의 압력은 상기 에칭 동안 단지 20mTorr일 수 있다.
본원 발명의 또 다른 양상은 유전체층, 및 상기 유전체층 상부에 놓이고 적어도 40 원자%의 탄소와 10 원자% 내지 60 원자%의 수소를 포함하는 탄소-기질 층을 포함하는 유전체층 구조물을 에칭하는 방법으로서, 상기 탄소-기질 층 상부에 놓이는 포토레지스트 층을 패터닝하는 단계; 상기 포토레지스트 층의 패터닝에 따라 수소 가스, 질소 가스 및 일산화 탄소 가스를 포함하는 에칭 가스로 상기 탄소-기질 층을 플라즈마 에칭하는 제 1 단계; 및 불화 탄소를 포함하는 에칭 가스로 상기 플라즈마 에칭된 탄소-기질 층을 통해 상기 유전체층을 플라즈마 에칭하는 제 2 단계를 포함할 수 있다. 상기 방법은 상기 탄소-기질 층은 적어도 60 원자%의 탄소와 10 원자% 내지 40 원자%의 수소를 포함할 수 있다. 상기 방법에 있어서 상기 탄소-기질 층은 적어도 400nm의 두께를 가질 수 있다. 상기 방법에 있어서, 상기 제 1 단계 및 제 2 단계는 상기 유전체층 구조물이 형성된 기판을 지지하는 RF 바이어싱되는 페디스털 전극, 및 상기 에칭 가스가 유동되고 VHF 전력 공급기에 의해 용량성으로 바이어싱되는 샤워헤드 전극을 갖는 플라즈마 에칭 반응기에서 수행될 수 있다. 상기 방법에 있어서, 상기 유전체층 구조물은 상기 탄소-기질 층 상부에 놓이는 반사-방지 층을 부가적으로 포함하고, 그 상부에 상기 포토레지스트 층이 패턴화되며, 상기 반사-방지 층을 플라즈마 에칭하는 제 3 단계를 더 포함할 수 있다. 상기 방법에 있어서, 상기 제 1 단계, 제 2 단계 및 제 3 단계는 상기 유전체층 구조물이 형성된 기판을 지지하는 RF 바이어싱되는 페디스털 전극, 및 상기 에칭 가스가 유동되고 VHF 전력 공급기에 의해 용량성으로 바이어싱되는 샤워헤드 전극을 갖는 플라즈마 에칭 반응기에서 수행될 수 있다.
본 발명에 의하면, 높은 탄소 분율을 포함하는 마스크들을 에칭하는 방법을 제공할 수 있는 효과가 있다.
도 1은 본 발명에 따라 형성(form)될 수 있는 예시적인 구조물의 단면도이다.
도 2는 본 발명이 바람직하게 실시되는 플라즈마 에칭 반응기의 개략적인 단면도이다.
도 3은 에칭 가스들 중 2개의 에칭 가스들의 2개의 상이한 비율들에 대한 균일도(uniformity) 및 프로파일 특성들을 도시하는 그래프이다.
도 4는 웨이퍼의 중심 및 에지에 대한 에칭 가스들의 플로우(flow)의 상이한 비율들에 대한 균일도 및 프로파일 특성들을 도시하는 그래프이다.
도 5는 챔버 압력의 함수로서 균일도 및 프로파일 특성들을 도시하는 그래프이다.
수소, 질소, 및 일산화 탄소를 포함하며, 바람직하게는 이들로 이루어진 에칭 가스의 플라즈마 에칭에 의해 탄소계(carbon-based) 층을 에칭하는 방법, 구체적으로는 포토레지스트 마스크를 통해 이러한 물질의 하드마스크를 개방(open)시키기 위한 방법이 개시된다. 상기 하드마스크는 높은 종횡비 비아 홀을 요구하는 유전체 금속-간(inter-metal) 층과 같은 아래에 놓인(underlying) 층을 에칭하기 위한 마스크로서 이용될 수 있다. 상기 방법은 유전체 금속-간 층이 로우-k 유전체로 이루어질 때 특히 유용하다.
수소 및 질소는 1:2 내지 2:1의 비율에서 공급되는 것이 바람직하다. 챔버 압력은 50mTorr를 초과하여 유지되는 것이 바람직하다.
플라즈마 에칭 챔버는 1 내지 143㎒ 범위에서 동작하는 HF 전력 공급기에 의해 바이어싱되는 페디스털(pedestal) 전극, 및 150 내지 350㎒ 범위에서 동작하는 샤워헤드 전극을 포함하는 것이 바람직하다.
에칭 선택도는 유전체와 포토레지스트 층들 중간의 하드마스크를 패터닝하기 위해 포토레지스트 마스크를 이용함으로써 증가될 수 있다. 일반적으로 더 얇은 하드마스크는 이용가능한 포토레지스트를 이용하여 보다 용이하게 에칭되고 보다 거친(tougher) 하드마스크가 더 두꺼운 아래에 놓인 유전체 층을 패터닝하는데 이용된다. 하드마스크들은 ArF 레이저로부터 이용가능한 193nm 포토레지스트 패터닝 방사를 이용하여 100nm 미만으로 피쳐 사이즈가 감소되도록 유전체 에칭에서 요구된다. 전형적으로 실리콘 질화물 또는 실리콘 옥시나이트라이드로 이루어진 하드마스크들이 과거에 제안되었다. 그러나, 특히 바람직한 하드마스크 물질은 캘리포니아, 산타클라라의 어플라이드 머티어리얼스사로부터 이용가능한 개선된 패터닝 막(APF)과 같은 탄소계 물질이다. 플라즈마 강화 화학적 기상 증착(PECVD)에 의한 그것의 증착은, 예를 들어, 프로필렌 C3H6와 같은 탄화수소를 프리커서(precursor)로서 이용하는, Fairbairn 등에 의한 미국특허 제6,573,030호에 기술되어 있다. Wang 등의 미국 공개 출원 2005/0199585 및 Lei 등의 미국 공개 출원 2005/0167394는 하드마스크로서 그것의 사용법을 기술하고 있다. 이러한 3개의 문헌들은 참조로 본 발명에 통합된다. Fairbairn은 적어도 40 원자%의 탄소 및 10 내지 60 원자%의 수소로 이루어지고 이에 따라 적어도 40 원자%의 탄소로 이루어지는 것으로서 이러한 물질을 특징지웠다. 그러나, 보다 긴밀한(tighter) 조성 범위는 바람직하게는 적어도 60 원자%의 탄소 및 10 내지 40 원자%의 수소로 이루어진다. 도펀트들은 유전상수 및 굴절 인덱스(refractive index)를 제어하기 위해 제안되었지만, 효과적인 반사-방지 코팅을 통해 패터닝된 APF 층-간 유전체는 탄소 및 수소 이외에 다른 실질적인 컴포넌트들을 요구하는 것으로 보이지 않는다. 그것의 성장 조건 및 프리커서들이 결정화를 변화시킬 수 있지만 비정질(amorphous) 물질로서 형성되는 것으로 판단된다. 400℃에서 성장된 APF는 1.1g/cm2의 밀도, 2.2MPa의 경도, 2.2MPa의 강도, 및 63/37의 최적화된 C/H 원자 비율을 갖는 것으로 관찰되었다. 이중 탄화수소 결합들(C=H)에 대한 단일 탄화수소 결합들(C-H)의 비율은 5인 것으로 관찰된다. 더 높은 온도들에서 성장되는 최근에 개발된 APF는 다소 상이한 특성들을 보여줄 수 있다.
탄소계 하드마스크의 사용례는 콘택 비아에 대한 도 1의 단면도에 도시된다. 그러나, 본 발명은 이러한 구조에 제한되지 않으며 다른 물질들 위에 APF 이외의 탄소계 층들을 개방시키는데 적용될 수 있음이 강조되어야 한다. 실리콘 기판(12) 위에 전형적으로 화학적 기상 증착(CVD)에 의해, 예를 들어 실리콘 질화물의 에칭 스톱 층(14), 예를 들어 할로겐으로 도핑된 로우-k 산화물의 유전체 층(16), 및 탄소계의 하드마스크 층(18)이 증착됨으로써, 전형적으로 습윤 형태로 스핀되어 그 이후에 건조되는, 이후에 증착되는 포토레지스트 층(22)의 포토그래픽 패터닝을 용이하게 한다. ARC 층(20)은, 예를 들어, 실리콘 옥시나이트라이드의 하부 DARC 층(24) 및 유기 물질의 상부 BARC 층(26)을 포함한다. DARC 층(24)은 탄소계 하드마스크 층에 대한 BARC 층(26)의 접착(adhesion)을 촉진시키는데 부분적으로 사용된다.
그 다음, 포토레지스트 층(22)은 단계 및 반복 노출기 툴(a step and repeat exposer tool)의 레티클(reticle)을 통해 포토그래픽적으로 노출되고, 목표된 콘택 비아(28) 위에 개구(aperture)를 남겨놓도록 현상된다. 그 다음, BARC 및 DARC 층들(26, 24)은, 예를 들어, CF4를 이용하여 기존의 플라즈마 에칭을 이용하여 제거된다. 그 다음, 탄소계의 하드마스크 층(18)의 노출된 영역들이 패터닝된 포토레지스트 층(26) 또는 ARC 층(18)의 나머지 부분들을 에칭 규정부(etch defining)로서 이용하여 에칭되거나 개방된다. 그 이후, 예를 들어 C4F6 및 Ar 또는 다른 무-수소(hydrogen-free) 또는 수소-함유 탄화불소(fluorocarbon)의 불소계 화학 작용(chemistry)은 적절히 선택된 불소계 산화물 에칭의 선택적 특성 때문에 에칭의 적어도 최종 부분들을 위한 마스크로서 하드마스크 층(18)을 이용하고 에칭 스톱 층(14)에서 정지하여 유전체 층(16)을 통해 에칭한다. 그 다음에 비아 홀로 충진되는(filled) 내화 규화물(refractory silicide) 및 금속화(metallization) 금속과 같은 콘택 층을 통한 접촉 및 이온 주입에 의한 자신의 도핑을 허용하도록 아래에 놓인 실리콘 웨이퍼(12)를 노출시키기 위해, 얇은 에칭 스톱층(14)은 예를 들어 DARC 및 BARC를 위해 CF4 또는 O2를 이용하여 스퍼터(sputter) 에칭 또는 상대적으로 비-선택적 화학적 플라즈마 에칭 단계에 의해 제거된다. 탄소계의 하드마스크 층(18)의 나머지 부분은 산소 플라즈마에서 제거될 수 있다. 상기 프로세스는 하위-레벨 비아 홀, 및 수평 커넥션들을 위한 상위-레벨 트렌치를 갖는 이중 다마신(damascene) 구조물로 용이하게 확장될 수 있다는 것이 이해되어야 한다.
하드마스크 층의 개방은 몇가지 상이한 기준을 충족시켜야 한다. 그것은 포토레지스트 패터닝에 의해 설정된 임계 치수(CD)를 유지하기 위해 하드마스크 층에서 수직 프로파일을 생성해야 한다. 100nm 피쳐들에 대해, 개방된 하드마스크의 하부에서 CD의 변화는 10nm 미만이어야 한다. 상업적인 제조를 위해, 에칭율은 비교적 높아야 하며, 예를 들어, 500nm보다 커야 한다. 입자들의 생성은 비교적 낮아야 하고 설비의 반복적인 사이클링 동안 상당하게 증가하지 않아야 한다. 하드마스크 층은 전형적으로 400nm 또는 600nm 보다 더 큰 두께를 갖고 200nm 초과 미만의 비아 폭들이 요구되기 때문에, 에칭은 매우 이방성이어야 하고 수직 프로파일들을 생성해야 한다. 하드마스크의 개방과 동일한 챔버에서 바람직하게 수행되는 불소계 산화물 에칭 때문에 입자들이 관심사가 될 수 있다.
탄소계 하드마스크를 개방하기 위한 바람직한 프로세스는 H2/N2/CO의 에칭 가스 혼합물에 기반한다. 수소 및 질소는 주요 에칭 종(species)이다. 일산화 탄소는 수직 프로파일을 유지하기 위해 중요한 측벽(sidewall) 패시베이션을 제공한다.
도 1의 비아 콘택을 규정하는데 있어 다른 단계들과 함께 하드마스크 개방 프로세스는 도 2의 단면도에 개략적으로 도시된 용량성 커플링된(capacitively coupled) 플라즈마 에칭 챔버(30)에서 수행될 수 있고, Hoffman 등에 의해 미국특허 제6,853,141호 및 제6,894,245호에 기술되며, 상기 특허들은 참조로 본 발명에 통합된다. Hoffman 등은 2005년 1월 28일자로 출원되고, 이제 미국 출원 공개 제2005/0178748호로서 공개된 미국 특허출원 제11/046,538호에서 전자의 특징들을 확장시킨다. 어플라이드 머티어리얼스로부터 이용가능한 Enabler 챔버는 개시된 챔버의 부분들을 통합한다. 에칭 챔버(30)는 샤워헤드와 웨이퍼를 지지하는 페디스털을 별도로 바이어싱시키고, 샤워헤드에 인가되는 VHF 소스 전력의 디커플링(decouple)을 시도하고, 페디스털에 인가되는 HF 소스 전력으로부터 플라즈마를 생성하고, 에칭 이온의 에너지에 영향을 주는 DC 셀프 바이어스를 생성한다. 챔버(30)는 또한 챔버로의 VHF 전력의 주의 깊은 커플링 및 소스 주파수의 주의 깊은 선택, 인용된 출원에 가장 잘 기술된 특징들을 포함한다. 간단하게 기술될 다른 특징들은 에칭의 균일도를 크게 개선시킨다.
에칭 챔버(30)는 챔버가 100mTorr 이하로 펌핑되도록 하는 진공 펌프(36)로의 배플(baffled) 환형 펌핑 포트(34)를 포함하는 주 챔버 몸체(32)를 포함한다. 페디스털 전극(38)은 웨이퍼(40) 위의 처리 공간(44)으로 에칭 가스를 공급하는 샤워헤드(42)와 대향하여(in opposition to) 에칭 처리되는 웨이퍼(40)를 지지한다. 연관되는 슬릿(slit) 밸브를 가진 웨이퍼 포트(48)는 웨이퍼(40)가 챔버(30)로 삽입되도록 한다. HF 전력 공급기(50)는 웨이퍼(40)상에 DC 셀프 바이어스를 생성하도록 용량성 정합 회로(52)를 통해 페디스털 전극(40)을 RF 바이어스시킨다. HF 전력 공급기(50)의 주파수는 낮은 ㎒ 범위에 있을 수 있다. 여기서 구체적으로 논의되지 않는 몇몇 애플리케이션들에서, 1.8㎒ 및 2.0㎒에서 각각 동작하는 2개의 HF 전력 공급기들 모두는 정합 회로(52)에 대한 입력일 수 있다. HF 주파수에 대한 더 넓은 선호되는(preferred) 범위는 1 내지 14㎒이다.
샤워헤드(42)의 RF 바이어싱은 절연체(62)에 의해 분리되고 쇼트(64)에 의해 종단되는, 내측(inner) 전도체(58) 및 외측(outer) 전도체(60)를 포함하는 동축 스터브(56)를 통해 주의깊게 제어된다. 스터브(56)는 예를 들어, 높은 커플링과 넓은 출력 임피던스 모두를 제공하는 VHF 주파수의 1/4 파장과 같이, 미리 결정된 길이를 갖는다. 예를 들어, 162㎒에서 동작하는 VHF 전력 공급기(68)는 높은 전력 커플링을 제공하는 쇼트(64)로부터 일정 거리에서 동축 케이블(70)을 통해 내측 전도체(58)로 연결된다. 스터브(56)의 다른 엔드(end)에서, 외측 전도체(60)는 챔버 몸체(32)로 접지되고, 내측 전도체(58)는 주의 깊게 제어되는 두께의 절연 링(74)을 통해 샤워헤드(42)에 용량성 커플링된 플레어(flared) 전도체(72)에 연결되며, 페디스털 전극(38)의 DC 셀프-바이어싱으로부터 샤워헤드(42)의 VHF 바이어싱을 효과적으로 차단(isolate)시킨다. VHF 주파수에 대한 더 넓은 선호되는 범위는 150 내지 325㎒이다.
샤워헤드(74)는 처리 가스를 처리 공간(44)으로 균일하게 공급하기 위한 다수의 개구들(78)을 포함한다. 그러나, 개구들은 각각의 폼-충진된(foam-filled) 매니폴드(manifold)들(84, 86) 및 가스 공급 라인들(88, 90)을 거쳐 스터브(56) 내의 보어(bore)(92)를 통해 내측 및 외측 가스 공급기들(94, 96)에 연결된 환형 외측 영역(80) 및 환형 내측 영역(82)으로 분할된다. 그에 의해, 처리 가스는 웨이퍼(40)의 내측 및 외측 부분들에 차등을 두어 제공될 수 있다. 내측 영역(82)의 전형적인 직경은 300mm 웨이퍼에 대해 8.1인치(206nm)이다. 가열 또는 냉각 유체는 샤워헤드의 후방(44)에 제공되고 스터브 보어(92)를 통과하는 유체 라인들(104)을 통해 거기로부터 리턴되며 열 유체 소스(106)에 연결된다.
이중 영역 샤워헤드는 중성 원자들 또는 분자들을 포함하는 모든 종의 처리 가스의 방사 분포를 튜닝하는 수단을 제공한다. 이온화된 종들은 처리 공간(44)에서 자기장들을 생성하기 위해, 샤워헤드의 후방에 배치되어 플라즈마 조종(steering) 제어기(116)에 의해 개별적으로 제어가능한 DC 전류량들이 공급되는 2개의 동축 코일들(112, 114)에 의해 개별적으로 튜닝될 수 있다. 제 1 코일(112)은 샤워헤드(42) 외부의 방사형 외측 위치에 배치되고 샤워헤드(42)의 레벨 위에서 짧은 거리에 배치된다. 제 2 코일(114)은 방사형 내측 위치에 배치되고 샤워헤드 위에서 더 긴 거리에 배치되며, 바람직하게는 플레어 전도체(72)의 최상부(top) 외부에 인접하게 배치된다. 동일한 극성의 대략적으로 동일한 전류들이 2개의 코일들(112, 114)을 통과할 때, 첨점(cusp)-형상의 자기장이 샤워헤드(42) 및 웨이퍼(40) 사이의 처리 공간(44)에서 상당한 방사형 컴포넌트들을 갖도록 생성되고, 이는 처리 가스의 이온화된 컴포넌트들을 조종할 수 있다.
본 발명의 일 실시예에 따라, 탄소계 층이 질소, 수소 및 일산화 탄소를 포함하는 에칭 가스를 이용한 플라즈마 에칭에 의해 제거된다. 바람직하게는, 에칭은 VHF 주파수에서 용량성 바이어싱되는 샤워헤드 및 자기적 강화(enhancement)를 포함하는 도 1의 에칭 챔버와 같은 에칭 챔버에서 수행된다.
예 1
하드마스크 개방 프로세스는 300mm 실리콘 웨이퍼상에서 900nm의 두께로 400℃에서 성장된 다음 ARC 층과 패터닝된 포토레지스트로 커버되는 APF 층을 위해 최적화되었다. 웨이퍼는 300mm 웨이퍼들을 위해 구성되는 도 2의 에칭 챔버에 배치된다. ARC 층은, 페디스털 전극이 600W의 13.56㎒ RF 전력으로 바이어싱되는 동안, 200sccm의 CF4가 150mTorr의 압력에서 공급되는 기존의 에칭에 의해 제거된다.
전환 단계 이후, APF 층은 그 후에 150sccm의 N2, 450sccm의 H2, 및 50sccm의 CO의 에칭 가스 플로우를 이용하여 에칭된다. 상이한 처리 가스들은 각각의 질량 플로우 제어기들에 의해 계측된다. 내측 및 외측 영역들에 전달되는 컴포넌트 분율들은 동일하고, 본 예에서, 동일한 양의 처리 가스가 2개의 영역들로 전달된다. 챔버 압력은 100mTorr에서 유지되고, 페디스털 전극은 40℃에서 유지된다. 샤워헤드 전극에는 1500W의 162㎒ 소스 전력이 공급되고, 페디스털 전극에는 900W의 13.56㎒ 바이어스 전력이 공급된다. 첨점 형상의(cusp-shaped) 자기장을 생성하기 위해 동일한 극성의 5A의 전류가 코일들 각각을 통과하게 된다. 웨이퍼 위의 평균화된 180s의 에칭에 대한 에칭율은 약 431nm/min이고 7.7%의 불균일도를 갖는 것으로 관찰된다.
예 2
제 2 예에서, 내측 코일에 공급되는 전류는 2A로 감소되고 외측 코일에 대한 전류는 5A에서 유지된다. 다른 조건들은 제 1 예와 동일하게 유지된다. 평균 에칭율은 469nm/min로 증가되는 것으로 관찰되지만, 불균일도는 11.5%로 증가한다.
예 3
제 3 예에서, 162㎒ 소스 전력은 2000W로 증가되고 다른 조건들은 제 1 예와 동일하게 유지된다. 평균 에칭율은 약 549nm/min이고 불균일도는 3.1%로 감소되는 것이 관찰된다.
예 4
제 4 예에서, 162㎒ 소스 전력은 2000W로 설정되고 N2의 공급은 300sccm으로 증가되며 H2의 공급은 300sccm으로 감소된다. 그 이외에는 제 1 예의 조건들이 사용된다. 평균 에칭율은 516.5nm/min이고 2.1%의 불균일도를 갖는 것이 관찰된다. 웨이퍼에 걸친 홀 프로파일에서 일부 불균일도가 존재한다.
예 5
제 5 예에서, 수소에 대한 질소의 비율을 가변시키는 영향을 결정하기 위해 2개의 처리 조건들이 비교된다. 일 세트의 테스트들에서, 수소 플로우는 450sccm이고 질소 플로우는 150sccm이다. 다른 세트에서, 수소 플로우는 300sccm이고 질소 플로우는 300sccm이다. 즉, 주로 에칭을 책임지는 것으로 판단되는 2개의 가스들의 전체 플로우는 동일하게 유지된다. 일산화 탄소는 주로 측벽을 패시베이팅하는데 유용하며 그리하여 프로파일을 개선하는 것으로 판단된다.
그 결과들은 도 3의 그래프에 요약되며, 이는 하부(bottom) 임계 치수(BCD), 즉 2개의 H2/N2 플로우 비율들에 대해 웨이퍼상의 상이한 지점들에서 하부의 폭을 나타낸다. 상기 그래프는 또한 BCD의 변화를 디스플레이한다. 일반적으로 2:1 미만의 더 낮은 H2/N2 비율은 보다 양호한 BCD 균일도를 가진 더 작은 BCD를 제공한다. 또한, 더 많은 ARC는 더 낮은 비율을 갖는 APF 에칭의 엔드에서 유지된다. 그러나, 더 낮은 비율에서, DARC/APF 인터페이스에서 보다 많은 언더컷팅(undercutting)이 존재하며, 이는 덜 수직인(less vertical) 프로파일에 기여한다. 다른 챔버 최적화들에 대해, 선호되는 H2/N2 플로우 비율은 3:1 내지 1:2의 범위 내에 속하는 것으로 판단된다.
소스 전력이 2000W로 증가될 때 유사한 결과들이 관찰된다.
예 7
제 7 예에서, 내측 및 외측 영역들 사이의 처리 가스들의 플로우의 비율은 가변되지만, 그 외에는 제 1 예의 처리 조건들이 유지된다. 도 4의 그래프에 있는 결과들은 외측 영역에서 처리 가스가 없는 경우에 대해 그리고 1/4, 1, 및 4의 외측 대 내측 플로우율(flow rate)들에 대한 비율들에 대해, 웨이퍼상의 6개 지점들에서 BCD를 나타낸다. 프로파일의 최상부에서 폴리머 잔류물을 용해시키는 동안 보다 많은 에지 플로우가 특히 중심에서 BCD를 증가시킨다는 것을 알 수 있다. 그 효과들은 중심에 공급되는 더 적은 가스 및 증가된 펌핑으로부터 발생한다. 또한, 가스 체류(residence) 시간은 증가된다. 또한, 더 높은 에지 플로우는 균일도를 개선시킨다. 일반적으로, 1/2 내지 2의 플로우 비율들은 단위 플로우 비율에서 APF가 약 10% 더 낮더라도 보다 양호한 결과들을 생성한다.
예 8
제 8 예에서, 챔버 압력은 가변되지만, 그 외에는 제 1 예의 조건들을 따른다. 도 5의 결과들은 50mTorr를 초과하는 압력들에서, 수직 프로파일이 개선되고, BCD 균일도가 크게 개선된다는 것을 보여준다. 상이하게 최적화된 방법들에 대해, 최적 압력 범위의 최소값은 20mTorr로 감소될 수 있다고 판단된다.
기술된 모든 방법들은 적어도 90%가 수소 및 질소인 활성 구성성분들을 갖는 에칭 가스를 포함한다. 아르곤과 같은 불활성 가스를 부가하는 것이 가능하지만, APF 에칭 화학 작용에 거의 영향을 주지 않는 것으로 예상된다.
APF는 550℃에서 성장되도록 다른 것들에 의해 현상되고, 400℃ APF 보다 더 경질(harder)이 되는 것으로 판단된다. 그러나, 변화하는 최적화를 이용할지라도 H2/N2/CO의 동일한 에칭 화학 작용은 유사하게 양호한 결과들을 제공해야 한다. 에칭 화학 작용은 유사한 조성들을 갖는 다른 탄소계 물질들에도 또한 적용가능하다.
탄소계 층과 포토레지스트 사이에서 중간 하드마스크 층들을 이용하는 것이 가능하다. 일단 ARC 층이 에칭되고 화학 작용이 탄소계 물질을 에칭하기 위해 변화되면 상기 ARC 층은 사실상 부분적으로 하드마스크 층으로서 기능한다. 탄소계 하드마스크는 아래에 놓인 층, 특히 로우-k 유전체의 아래에 놓인 층 또는 몇몇 애플리케이션들에 대해 목표된 매우 두꺼운 산화물 층들의 에칭을 크게 간소화시킨다. 탄소계 물질은 산화물 에칭을 위해 특히 효과적인 불소계 플라즈마 에칭에 상대적으로 영향을 받지 않는다. 즉, 규정하는 탄소-하드 마스크 위의 산화물을 에칭하기 위해 매우 높은 선택도가 이용가능하다.
하드 마스크는 상대적으로 얇은 포토레지스트 층으로 규정될 수 있으므로, 매우 좁은 마스크 피쳐들을 허용하지만, 탄소계 하드마스크는 본 발명의 화학 작용을 이용하여 깊게 그리고 수직으로 에칭될 수 있다. 상기 하드마스크는 또한 위에 놓인 ARC 층 및 자신이 에칭 패터닝을 규정하는 아래에 놓인 산화물 또는 다른 층에 대해 사용되는 동일한 플라즈마 에칭 반응기에서 에칭될 수 있다.
12: 실리콘 기판 14: 에칭 스톱(stop) 층
16: 유전체 층 18: 탄소계 하드마스크 층
20: ARC 층 22: 포토레지스트 층
24: 하부 DARC 층 26: 상부 BARC 층
28: 콘택 비아(contact via) 30: 에칭 챔버

Claims (19)

  1. 기판 상부에 형성되고 적어도 40 원자% 탄소를 포함하는 탄소-기질 층을 에칭하는 방법으로서,
    수소, 질소, 및 일산화 탄소를 포함하는 에칭 가스의 플라즈마에 상기 탄소-기질 층을 노출시키는 단계
    를 포함하는 탄소-기질 층의 에칭 방법.
  2. 제 1 항에 있어서,
    상기 탄소-기질 층은 적어도 60 원자%의 탄소를 포함하는 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  3. 제 2 항에 있어서,
    상기 탄소-기질 층은 10 원자% 내지 40 원자%의 수소를 포함하는 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  4. 제 1 항에 있어서,
    상기 탄소-기질 층은 10 원자% 내지 40 원자%의 수소를 포함하는 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  5. 제 1 항에 있어서,
    상기 에칭 가스의 활성 컴포넌트들은 본질적으로 수소, 질소, 및 일산화 탄소로 이루어진 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  6. 제 1 항에 있어서,
    상기 에칭 가스는 본질적으로 수소, 질소, 및 일산화 탄소로 이루어진 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  7. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 수소의 흐름은 상기 질소의 흐름의 50% 내지 300%인 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  8. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    상기 탄소-기질 층 상부에 형성된 포토레지스트 층을 광학적으로 패터닝하는 단계를 더 포함하는 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  9. 제 8 항에 있어서,
    상기 에칭된 탄소-기질 층을 하드마스크로서 이용하여 상기 탄소-기질 층 하부의 유전체층을 에칭하는 단계를 더 포함하는 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  10. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    정합 회로를 통해 HF 주파수에서 동작하는 RF 전력 공급기에 의해 바이어싱되고 상기 기판을 지지하는 페디스털 전극, 및 상기 페디스털에 대향하는 샤워헤드 전극을 갖는 플라즈마 에칭 챔버에 상기 기판을 배치시키는 단계 - 상기 에칭 가스는 상기 페디스털을 통해 공급되고, 상기 HF 주파수보다 더 높은 VHF 주파수에서 동작하는 제 2 RF 전력 공급기에 의해 바이어싱되며, 상기 샤워헤드 전극에 용량성 결합된 스터브(stub) 회로에 인가됨 -
    를 더 포함하는 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  11. 제 10 항에 있어서,
    상기 챔버의 중심 축으로부터 상이한 반경에서 상기 샤워헤드의 후방에 배치된 2개의 동축 코일들을 통해 전류를 통과시키는 단계를 더 포함하는 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  12. 제 1 항 내지 제 6 항 중 어느 한 항에 있어서,
    플라즈마 에칭 챔버에 상기 기판을 배치하고 상기 에칭 동안 50mTorr 이상에서 상기 챔버의 압력을 유지시키는 단계를 더 포함하는 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  13. 제 12 항에 있어서,
    상기 챔버의 압력은 상기 에칭 동안 단지 20mTorr인 것을 특징으로 하는 탄소-기질 층의 에칭 방법.
  14. 유전체층, 및 상기 유전체층 상부에 놓이고 적어도 40 원자%의 탄소와 10 원자% 내지 60 원자%의 수소를 포함하는 탄소-기질 층을 포함하는 유전체층 구조물을 에칭하는 방법으로서,
    상기 탄소-기질 층 상부에 놓이는 포토레지스트 층을 패터닝하는 단계;
    상기 포토레지스트 층의 패터닝에 따라 수소 가스, 질소 가스 및 일산화 탄소 가스를 포함하는 에칭 가스로 상기 탄소-기질 층을 플라즈마 에칭하는 제 1 단계; 및
    불화 탄소를 포함하는 에칭 가스로 상기 플라즈마 에칭된 탄소-기질 층을 통해 상기 유전체층을 플라즈마 에칭하는 제 2 단계
    를 포함하는 유전체층 구조물의 에칭 방법.
  15. 제 14 항에 있어서,
    상기 탄소-기질 층은 적어도 60 원자%의 탄소와 10 원자% 내지 40 원자%의 수소를 포함하는 것을 특징으로 하는 유전체층 구조물의 에칭 방법.
  16. 제 15 항에 있어서,
    상기 탄소-기질 층은 적어도 400nm의 두께를 갖는 것을 특징으로 하는 유전체층 구조물의 에칭 방법.
  17. 제 14 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 제 1 단계 및 제 2 단계는 상기 유전체층 구조물이 형성된 기판을 지지하는 RF 바이어싱되는 페디스털 전극, 및 상기 에칭 가스가 유동되고 VHF 전력 공급기에 의해 용량성으로 바이어싱되는 샤워헤드 전극을 갖는 플라즈마 에칭 반응기에서 수행되는 것을 특징으로 하는 유전체층 구조물의 에칭 방법.
  18. 제 14 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 유전체층 구조물은 상기 탄소-기질 층 상부에 놓이는 반사-방지 층을 부가적으로 포함하고, 그 상부에 상기 포토레지스트 층이 패턴화되며, 상기 반사-방지 층을 플라즈마 에칭하는 제 3 단계를 더 포함하는 것을 특징으로 하는 유전체층 구조물의 에칭 방법.
  19. 제 14 항 내지 제 16 항 중 어느 한 항에 있어서,
    상기 제 1 단계, 제 2 단계 및 제 3 단계는 상기 유전체층 구조물이 형성된 기판을 지지하는 RF 바이어싱되는 페디스털 전극, 및 상기 에칭 가스가 유동되고 VHF 전력 공급기에 의해 용량성으로 바이어싱되는 샤워헤드 전극을 갖는 플라즈마 에칭 반응기에서 수행되는 것을 특징으로 하는 유전체층 구조물의 에칭 방법.
KR1020130089469A 2005-10-05 2013-07-29 탄소계 하드마스크를 개방하기 위한 프로세스 KR20130100072A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/244,422 US7432210B2 (en) 2005-10-05 2005-10-05 Process to open carbon based hardmask
US11/244,422 2005-10-05

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020060097860A Division KR101318898B1 (ko) 2005-10-05 2006-10-09 탄소계 하드마스크를 개방하기 위한 프로세스

Publications (1)

Publication Number Publication Date
KR20130100072A true KR20130100072A (ko) 2013-09-09

Family

ID=37902459

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020060097860A KR101318898B1 (ko) 2005-10-05 2006-10-09 탄소계 하드마스크를 개방하기 위한 프로세스
KR1020130089469A KR20130100072A (ko) 2005-10-05 2013-07-29 탄소계 하드마스크를 개방하기 위한 프로세스

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020060097860A KR101318898B1 (ko) 2005-10-05 2006-10-09 탄소계 하드마스크를 개방하기 위한 프로세스

Country Status (5)

Country Link
US (2) US7432210B2 (ko)
JP (1) JP5265100B2 (ko)
KR (2) KR101318898B1 (ko)
CN (1) CN1953146B (ko)
TW (1) TWI320203B (ko)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208407B2 (en) * 2004-06-30 2007-04-24 Micron Technology, Inc. Flash memory cells with reduced distances between cell elements
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US7399712B1 (en) 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US20070123050A1 (en) * 2005-11-14 2007-05-31 Micron Technology, Inc. Etch process used during the manufacture of a semiconductor device and systems including the semiconductor device
US20070231746A1 (en) * 2006-03-29 2007-10-04 Iordanoglou Dimitrios I Treating carbon containing layers in patterning stacks
US8367303B2 (en) * 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
US7613869B2 (en) * 2006-11-27 2009-11-03 Brigham Young University Long-term digital data storage
US7867843B2 (en) * 2006-12-22 2011-01-11 Intel Corporation Gate structures for flash memory and methods of making same
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7682986B2 (en) * 2007-02-05 2010-03-23 Lam Research Corporation Ultra-high aspect ratio dielectric etch
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
TWI455203B (zh) * 2007-05-03 2014-10-01 Lam Res Corp 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
US20090023294A1 (en) * 2007-07-16 2009-01-22 Applied Materials, Inc. Method for etching using advanced patterning film in capacitive coupling high frequency plasma dielectric etch chamber
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US9245792B2 (en) * 2008-07-25 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structures
US20100167506A1 (en) * 2008-12-31 2010-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Inductive plasma doping
RU2551841C2 (ru) 2009-05-07 2015-05-27 Басф Се Композиции для удаления резиста и способы изготовления электрических устройств
EP2427803B1 (en) 2009-05-07 2017-12-13 Basf Se Methods for manufacturing electrical devices using resist stripping compositions
WO2010127941A1 (en) 2009-05-07 2010-11-11 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
TWI448576B (zh) * 2010-11-17 2014-08-11 Nanmat Technology Co Ltd 低介電材料及其薄膜之製備方法
US8592321B2 (en) * 2011-06-08 2013-11-26 United Microelectronics Corp. Method for fabricating an aperture
US8641828B2 (en) 2011-07-13 2014-02-04 United Microelectronics Corp. Cleaning method of semiconductor manufacturing process
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
SG193093A1 (en) * 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
CN102709229A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种形成钨塞的方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9230854B2 (en) 2013-04-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9691590B2 (en) * 2015-06-29 2017-06-27 Lam Research Corporation Selective removal of boron doped carbon hard mask layers
US10497578B2 (en) * 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
CN107887323B (zh) * 2016-09-30 2020-06-05 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
CN112368805A (zh) 2018-12-18 2021-02-12 玛特森技术公司 使用含硫工艺气体的含碳硬掩模去除工艺
CN113891954A (zh) 2019-05-29 2022-01-04 朗姆研究公司 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590224A (ja) * 1991-01-22 1993-04-09 Toshiba Corp 半導体装置の製造方法
JP3998393B2 (ja) * 1999-02-25 2007-10-24 株式会社東芝 パターン形成方法
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
CN1393740A (zh) * 2001-06-25 2003-01-29 联华电子股份有限公司 蚀刻的方法
WO2003017343A1 (fr) * 2001-08-20 2003-02-27 Tokyo Electron Limited Procede de developpement a sec
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
AU2003297861A1 (en) 2002-12-23 2004-07-29 Tokyo Electron Limited Method and apparatus for bilayer photoresist dry development
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20050199585A1 (en) 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7235478B2 (en) * 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask

Also Published As

Publication number Publication date
US20080286977A1 (en) 2008-11-20
CN1953146A (zh) 2007-04-25
US7432210B2 (en) 2008-10-07
US20070077780A1 (en) 2007-04-05
CN1953146B (zh) 2011-04-13
JP2007103942A (ja) 2007-04-19
JP5265100B2 (ja) 2013-08-14
KR101318898B1 (ko) 2013-10-17
TW200717649A (en) 2007-05-01
KR20070038444A (ko) 2007-04-10
TWI320203B (en) 2010-02-01

Similar Documents

Publication Publication Date Title
KR101318898B1 (ko) 탄소계 하드마스크를 개방하기 위한 프로세스
KR100430046B1 (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
US6361705B1 (en) Plasma process for selectively etching oxide using fluoropropane or fluoropropylene
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
KR100778260B1 (ko) 수소로 포토레지스트를 포스트 에칭 박리하기 위한 프로세스
US6074959A (en) Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6465359B2 (en) Etchant for use in a semiconductor processing method and system
US6451703B1 (en) Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US20090191711A1 (en) Hardmask open process with enhanced cd space shrink and reduction
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
US20070295455A1 (en) Method and apparatus for etching material layers with high uniformity of a lateral etch rate across a substrate
US7056830B2 (en) Method for plasma etching a dielectric layer
US20070077724A1 (en) Etching methods and apparatus and substrate assemblies produced therewith
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
KR100743873B1 (ko) 플라즈마 처리 챔버 내에서의 에칭을 개선하기 위한 기술
JP2004512673A (ja) 炭素を含有するシリコン酸化物膜をエッチングする方法
JP2009021605A (ja) 容量結合高周波プラズマ誘電体エッチングチャンバにおけるアドバンスドパターニングフィルムを用いたエッチング方法
JPH10150019A (ja) フォトレジスト選択性を向上し重合体密着性を改善するためのプラズマ反応処理法
US6653237B2 (en) High resist-selectivity etch for silicon trench etch applications
US20020132488A1 (en) Method of etching tantalum
US20080102553A1 (en) Stabilizing an opened carbon hardmask
KR100190498B1 (ko) 다결정실리콘막의 에칭방법
KR20200121238A (ko) 기판 처리 방법 및 기판 처리 장치

Legal Events

Date Code Title Description
A107 Divisional application of patent
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application