CN1953146B - 对碳基硬掩模进行开口的方法 - Google Patents

对碳基硬掩模进行开口的方法 Download PDF

Info

Publication number
CN1953146B
CN1953146B CN200610140012XA CN200610140012A CN1953146B CN 1953146 B CN1953146 B CN 1953146B CN 200610140012X A CN200610140012X A CN 200610140012XA CN 200610140012 A CN200610140012 A CN 200610140012A CN 1953146 B CN1953146 B CN 1953146B
Authority
CN
China
Prior art keywords
etching
carbon
layer
carbon based
based layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200610140012XA
Other languages
English (en)
Other versions
CN1953146A (zh
Inventor
王竹戌
宋兴礼
马绍铭
浦远
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1953146A publication Critical patent/CN1953146A/zh
Application granted granted Critical
Publication of CN1953146B publication Critical patent/CN1953146B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Analytical Chemistry (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明公开了一种对碳基硬掩模层(18)进行开口的方法,其中所述碳基硬掩模层由优选地包含至少60%的碳和10%~40%的氢的无定形碳组成,并且覆盖在介电层(16)上。硬掩模通过等离子刻蚀用由H2、N2和CO组成的刻蚀气体开口。刻蚀优选地在具有被HF加偏压的基座电极和电容性地被VHF加偏压的喷头的等离子刻蚀反应器中进行。

Description

对碳基硬掩模进行开口的方法
技术领域
本发明一般涉及半导体集成电路的刻蚀。详细地说,本发明涉及包含高碳组分的掩模的刻蚀。
背景技术
等离子刻蚀是用于硅集成电路的结构定义的一种工艺。一个示例涉及穿过介电层的通孔的刻蚀以形成垂直的金属互连结构,在一些高级的设计中可以同时形成水平的互连结构。介电层传统地由基于二氧化硅的材料形成,二氧化硅也称为氧化物。更高级的电介质包括了氟和其他掺杂剂以减小介电常数。也可以使用其他的电介质混合物。长期建立的光刻工艺将一般为平面的光致抗蚀剂层淀积到无图案的氧化物上,在其间可能具有抗反射涂层(ARC)。光致抗蚀剂根据希望的图案被光学地形成图案,然后被显影以移除正胶光刻中未曝光的光致抗蚀剂或负胶光刻中曝光的光致抗蚀剂。然后形成图案的光致抗蚀剂用作掩模,该掩模用于刻蚀曝光的氧化物和中间的ARC(如果存在的话)的进一步步骤。电介质刻蚀工艺已经被发展成为在氧化物和光致抗蚀剂之间提供合理的刻蚀选择性的工艺。
集成电路技术的前进大部分依赖于诸如穿过氧化层的通孔之类的横向特征的不断缩小。通孔宽度现在降到了100nm以下。然而,氧化物厚度固定地保持在1μm左右,有很多需要3μm厚度或更厚的氧化物的结构。将在氧化层中被刻蚀的孔的如此高的高宽比在光刻和刻蚀之间造成了几个问题。为了保持光学地形成图案的景深,光致抗蚀剂的厚度不应该比氧化层中定义的特征的尺寸(例如上面示例中的100nm)大。结果,如果掩模要想保留到通孔被刻蚀完成之前,则刻蚀选择性也就是氧化物刻蚀率和光致抗蚀剂刻蚀率之比必须大于等于10。然而,光致抗蚀剂一般是基于软有机材料的。在实现诸如狭小通孔中的垂直形貌之类的其他要求的同时还要获得光致抗蚀剂的如此高的选择性是难以实现的。
希望将光刻从用于曝光光致抗蚀剂的来自KrF激光器的248nm辐射转换到来自ArF激光器的193nm辐射。然而,193nm辐射存在问题。对更短的波长敏感的光致抗蚀剂通常更软,光致抗蚀剂的最大厚度通常被减小到400nm以下以适应更短波长下的更浅的景深。
发明内容
本发明提供了一种刻蚀碳基层的方法,尤其用于在包含并优选地由氢气、氮气和一氧化碳组成的刻蚀气体中由等离子刻蚀通过光致抗蚀剂掩模对这些材料的硬掩模进行开口。硬掩模可以用作用于刻蚀在下面的层的掩模,在下面的层例如是需要高的高宽比通孔的电介质金属间层。该方法尤其在电介质金属问层是由低k电介质组成的时候有用。
氢气和氮气被优选地以1∶2~2∶1之间的比率供应。腔压强被优选地保持在50毫托以上。
等离子刻蚀腔优选地包括由工作在1~143MHz范围中的HF电源加偏压的基座电极和工作在150~350MHz范围中的喷头电极。
附图说明
图1是能够根据本发明形成的示例性结构的横截面视图;
图2是本发明被有利地实践的等离子刻蚀反应器的示意性横截面视图;
图3是示出对于两种刻蚀气体的两种不同流量比的一致性和形貌特性的图表;
图4是示出对于刻蚀气体的不同流量比到晶片中心和边缘的一致性和形貌特性的图表;以及
图5是示出作为腔压强的函数的一致性和形貌特性的图表。
具体实施方式
可以通过使用光致抗蚀剂掩模来形成介电层和光致抗蚀剂层之间的硬掩模而增加刻蚀选择性。通常为更薄的硬掩模可以用可获得的光致抗蚀剂更容易地刻蚀,更坚硬的硬掩模被用来使更厚的在下面的介电层形成图案。随着特征尺寸降到了100nm以下,在电介质刻蚀中需要硬掩模,在使用来自ArF激光器的可得到的193nm光致抗蚀剂形成图案的辐射的情况中也需要硬掩模。在过去已经提出了硬掩模,一般由氮化硅或氮氧化硅组成。然而,一种尤其有利的硬掩模材料是碳基材料,例如可从California的Santa Clara的Applied Materials,Inc.得到的Advanced Patterning Film(APF)。其通过等离子增强化学气相淀积(PECVD)的淀积已经由Fairbairn等人在美国专利6,573,030中使用烃例如丙稀C3H6作为前体描述了。美国公开申请2005/0199585中的Wang等人和美国公开申请2005/0167394中的Lei等人描述了其作为硬掩模的使用。这三个文献通过引用而合并于此。Fairbairn将这种材料描述为由至少40%的碳和10%~60%的氢组成,因此碳至少为40%。然而,一种更紧凑的组分范围优选地为至少60%的碳和10%~40%的氢。虽然掺杂剂被提出来控制介电常数和折射率,但是穿过有效的抗反射涂层而形成图案的APF层间电介质似乎不需要除了碳和氢之外的物质成分。认为能形成无定形材料,尽管其生长条件和前体可能改变晶体构造。在400℃生长的APF被观察到具有1.1g/cm2的密度、2.2Mpa的硬度、2.2MPa的强度和最优化的C/H原子比63/37。单碳氢键(C-H)和双碳氢键(C=H)之比被观察到为5。最近开发的在更高温度下生长的APF可以示出有些不同的特性。
在图1的触点通孔横截面视图中示出了碳基硬掩模的使用示例。然而,必须强调的是本发明不限于这种结构,可以被应用于对其他材料上除了APF之外的碳基层进行开口。在硅衬底12上一般是通过化学气相淀积(CVD)淀积的例如是氮化硅的刻蚀停止层14、例如是掺入卤素的低k氧化物的介电层16和碳基硬掩模层18以方便淀积后的光致抗蚀剂层22的图像构图,光致抗蚀剂层22一般在湿的形式下旋涂,然后被干燥。ARC层20包括例如是氮氧化硅的底部DARC层24和有机材料的上部BARC层26。DARC层24被用来部分地提升BARC层26与碳基硬掩模层的粘合。
然后光致抗蚀剂层22被通过一个步骤中的原版和重复曝光工具以图像方式曝光,并被显影以在希望的触点通孔28上留下孔。然后BARC层26和DARC层24被用传统的等离子刻蚀而移除,等离子刻蚀例如使用CF4。然后碳基硬掩模层18的暴露区域被用作为刻蚀定义的形成图案的光致抗蚀剂层26或ARC层的剩余部分刻蚀穿通或开口。然后例如C4F6和Ar或其他无氢或含氢的氟碳化合物的氟基化学使用硬掩模层18作为至少用于刻蚀的最后部分的掩模而刻蚀穿过介电层16,并因为正确选择的氟基氧化物刻蚀的选择性而在刻蚀停止层14停止。然后薄的刻蚀停止层14被通过溅射刻蚀或相对地非选择性化学等离子刻蚀步骤(例如对DARC或BARC使用CF4或O2)而移除以暴露下面的硅片12以允许其通过离子注入而掺杂和通过诸如填入到通孔中的难熔硅化物和金属化金属之类的接触层而接触。碳基硬掩模层18的剩余部分将在氧等离子中被移除。应该了解该工艺可以容易地延伸到具有下层通孔和用于水平链接的上层沟槽的双镶嵌结构。
硬掩模层的开口应该满足几个不同的标准。其应该在硬掩模层产生垂直形貌以保持通过光致抗蚀剂图案建立的临界尺寸(CD)。对于100nm的特征,在开口的硬掩模底部的CD的变化应该小于10nm。对于商业生产来说,刻蚀率应该相当高,例如大于500nm。粒子的产生应该相当低,并且在设备的重复循环期间不显著增加。因为硬掩模层一般具有大于400nm或600nm的厚度并且需要小于或大于200nm的通孔宽度,所以刻蚀应该是高度各向异性的并且产生垂直形貌。因为氟基氧化物刻蚀优选地在与硬掩模的开口相同的腔里进行,所以粒子可能变成关心的问题。
用于对碳基硬掩模开口的有利的工艺是基于H2/O2/CO的刻蚀气体混合物。氢气和氮气是主要的刻蚀种类。一氧化碳提供侧壁钝化,这对保持垂直形貌是很重要的。
在定义图1的通孔中的硬掩模开口工艺与其他步骤一起可以在电容性耦合的等离子刻蚀腔30中进行,刻蚀腔30在图2的横截面视图中图示并且由Hoffman等人在美国专利6,853,141和6,894,245中描述,这两篇文献通过引用合并于此。Hoffman等人在2005年1月28日提交的11/046,538并且现在作为美国申请公开2005/0178748发表的美国专利申请中对特征进行了扩展。可从Applied Material得到的Enabler腔包括公开的腔中的部分。刻蚀腔30分别加偏压到喷头和支撑晶片的基座,并且试图使VHF电源和HF电源去耦。VHF电源施加于喷头,产生等离子体,HF电源施加于基座,产生影响刻蚀离子能量的DC自偏压。腔30还包括源频率的小心选择和进入到腔中的VHF功率的小心耦合,以及在引用的申请中很好描述的特征。将被简要地描述的其他特征大大地改善了刻蚀的一致性。
刻蚀腔30包括主腔体32,主腔体32包括通向真空泵36的阻挡环形抽气端口34,真空泵36允许该腔被抽到100毫托及以下。基座电极38支撑与喷头42相对地被刻蚀处理的晶片40,喷头42将刻蚀气体供应到晶片40上面的处理空间44中。具有相关狭缝阀门的晶片端口48允许晶片40被插入到腔30中。HF电源50通过电容性匹配电路52对基座电极40RF加偏压以在晶片40上产生DC自偏压。HF电源50的频率可能处于较低的兆赫兹范围内。在一些这里没有详细讨论的应用中,分别工作在1.8MHz和2.0MHz下的两个HF电源可以同时输入到匹配电路52。HF频率的更宽的优选范围是在1~14MHz之间。
喷头42的RF偏压被通过同轴短截线56小心地控制,同轴短截线56包括由绝缘体62分开并由短路体(short)64终止的内部导体58和外部导体60。短截线56具有预定的长度,例如是同时提供高耦合和宽范围输出阻抗的VHF频率的四分之一波长。例如工作在162MHz的VHF电源68在离提供高功率耦合的短路体64一距离处通过同轴缆线70连接到内部导体58。在短截线56的另一端,外部导体60被接地到腔体32,内部导体58连接到扩张的导体72,导体72通过小心控制厚度的绝缘环74被电容性地耦合到喷头42,绝缘环74将喷头42的VHF偏压与基座电极38的DC自偏压有效地隔离。VHF频率的更宽的优选范围是150~325MHz之间。
喷头74包含很多个孔78以将加工气体均匀地供应到处理空间44中。然而,孔径被划分为环形外区80和环形内区82,环形外区80和环形内区82经由各自的填充泡沫的歧管84、86和穿过短截线56内的孔92的气体供应线路88、90而连接到内部气体供应装置94和外部气体供应装置96。因此,加工气体可以被区别地供应到晶片40的内部和外部部分。对于300mm的晶片来说,内区82的典型直径是8.1英寸(206mm)。加热或冷却流体通过穿过短截线内的孔92并连接到热流体源106的流体线路104被供应到喷头背面44并从此处返回。
双区喷头提供调节包括中性原子或分子的所有种类的加工气体的径向分布的手段。离子化的种类可以分别由两个同轴线圈112、114调节,线圈112、114被放置在喷头的背面,并且由等离子操纵控制器116供应可分别控制的量的DC电流以在处理空间44中产生磁场。第一线圈112被放置在喷头42外的径向外部位置并且在喷头42水平面上方的近距离处。第二线圈114被放置在径向内部位置并且在喷头上方的远距离处,优选地与闪光导体72顶部的外部相邻。当相同极性的近似相等的电流流过两个线圈112、114时,产生尖顶形的磁场,其在喷头42和晶片40之间的处理空间44中具有显著的径向分量,这可以操纵加工气体的离子化组分。
根据本发明的一个技术方案,碳基层被等离子刻蚀用包括氮气、氢气和一氧化碳的刻蚀气体移除。优选地,刻蚀在诸如图1的刻蚀腔之类的包括磁性增强和在VHF频率下电容性地加偏压的喷头的刻蚀腔中进行。
示例1
对于在400℃下在300mm硅晶片上生长到900nm厚度并且随后被ARC层和形成图案的光致抗蚀剂覆盖的APF层来说,硬掩模开口工艺进行最优化。晶片被放置到为300mm晶片配置的图2的刻蚀腔中。ARC层通过传统刻蚀被移除,在该传统刻蚀中,在用600W的13.56MHz RF功率给基座电极加偏压时,以150毫托的压力供应200sccm的CF4
在转移步骤后,APF层于是用150sccm的N2、450sccm的H2和50sccm的CO的刻蚀气流刻蚀。不同的加工气体通过各自的质量流量控制器来计量。传递到内、外区的组分分量是相同的,并且在本示例中,等量的加工气体被传递到两个区。腔压被保持在100毫托,并且基座电极被保持在40℃。喷头电极被供应以1500W的162MHz源功率,并且基座电极被供应以900W的13.56MHz偏压功率。5安培的相同极性电流流过每个线圈以产生尖顶形磁场。180s的晶片上平均刻蚀的刻蚀速度被观察到约为431nm/min,并且具有7.7%的不一致性。
示例2
在第二示例中,供应到内部线圈的电流被减小到2A,而供应到外部线圈的电流保持为5A。其他条件与第一示例中保持相同。平均刻蚀速度被观察到增加为469nm/min,但不一致性增加到11.5%。
示例3
在第三示例中,162MHz源功率被增加到2000W,而其他条件与第一示例中保持相同。平均刻蚀速度被观察到约为549nm/min,并且具有3.1%的不一致性。
示例4
在第四示例中,162MHz源功率被设定为2000W,N2的供应被增加到300sccm并且H2的供应被减少到300sccm。使用第一示例的其他条件。平均刻蚀速度被观察到为516.5nm/min,并且具有2.1%的不一致性。在穿过晶片的通孔形貌中存在一些不一致性。
示例5
在第五示例中,两个处理条件被比较以确定改变氮气和氢气之比的效果。在一组测试中,氢气流是450sccm并且氮气流是150sccm。在另一组中,氢气流是300sccm并且氮气流是300sccm。也就是说,被认为对刻蚀负主要责任的两种气体的总流量被保持相同。一氧化碳被认为主要在钝化侧壁并因此改善形貌方面有用。
在图3的图表中总结了结果,图3示出了底部临界尺寸(BCD),即在晶片不同位置处的底部对两种H2/N2流量之比的宽度。该图表还示出了BCD的变化。一般来说,2∶1以下的更低H2/N2之比提供具有更好的BCD一致性的更小BCD。而且,更低比率时,更多的ARC保留在APF刻蚀端。然而,在更低的比率下,在DARC/APF界面处存在更多底蚀,这导致了更不垂直的形貌。对于其他的腔最优化,认为优选的H2/N2流量之比位于3∶1~1∶2的范围内。
当功率被增加到2000W时观察到类似的结果。
示例7
在第七示例中,变化内区和外区之间的加工气体流量之比,而保持第一示例的其他处理条件。图4的图表中的结果示出了在外区中没有加工气体和外部与内部气流之比为1/4、1和4的晶片上的六个点处的BCD。可以看到当解决了形貌顶部处的聚合残留物时,更多的边缘流量增加了BCD,尤其在中心处。这些结果来自于更少的气体被供应到中心和增加了的抽气。而且,气体残留时间被增加了。更高的边缘流量还改善了一致性。一般来说,1/2~2之间的流量比产生更好的结果,尽管在统一流量比的APF低了约10%。
示例8
在第八示例中,改变腔压而遵从第一示例的其他条件。图5的结果示出了在50毫托以上的压力下,垂直形貌被改善并且BCD的一致性被大大改善。认为对于不同地优化的处方,最佳压力范围的最小值可以被减小到20毫托。
所有描述的处方包括具有至少90%为氢气和氮气的活性组分的刻蚀气体。可以加入诸如氩气之类的惰性气体,但是料想其在APF刻蚀化学物质上具有很小的效果。
其他人开发的APF在550℃下生长,其被认为比400℃的APF硬。然而,H2/N2/CO的相同的刻蚀化学物质应该提供类似好的效果,尽管具有变化的优化。刻蚀化学物质可应用于具有类似混合物的其他碳基材料。
可以在碳基层和光致抗蚀剂层之间使用中间硬掩模层。实际上一旦ARC层被刻蚀穿通并且对刻蚀碳基材料改变了化学物质,ARC层就部分地充当硬掩模层。碳基硬掩模大大简化了在下面的层的刻蚀,尤其是一些应用希望的低k电介质和很厚的氧化层的刻蚀。碳基材料相对地不被氟基等离子刻蚀渗透的,这对氧化物刻蚀尤其有效。也就是说,对于在定义的碳基掩模上刻蚀氧化物来说,能够得到很高的选择性。
硬掩模可以用相对薄的光致抗蚀剂层来定义,因此允许很窄的掩模特征,但是碳基硬掩膜可以使用本发明的化学物质而很深地和垂直地刻蚀。硬掩模也可以在用于在上面的ARC层和在下面的氧化层或定义了刻蚀图案的其他层的相同等离子反应器中被刻蚀。

Claims (23)

1.一种刻蚀方法,用来刻蚀通过等离子体增强化学气相沉积形成在衬底上并至少包含原子比为40%的碳的碳基层,所述方法包括将所述碳基层暴露于包括氢气、氮气和一氧化碳的刻蚀气体的等离子。
2.如权利要求1所述的方法,其中所述碳基层至少包含原子比为60%的碳。
3.如权利要求2所述的方法,其中所述碳基层包含原子比为10%~40%之间的氢。
4.如权利要求1所述的方法,其中所述碳基层包含原子比为10%~40%之间的氢。
5.如权利要求1所述的方法,其中所述刻蚀气体的反应组分由氢气、氮气和一氧化碳组成。
6.如权利要求1所述的方法,其中所述刻蚀气体由氢气、氮气和一氧化碳组成。
7.如权利要求1至6中的任一项所述的方法,其中氢气流是氮气流的50%至300%。
8.如权利要求1至6中的任一项所述的方法,还包括对形成在所述碳基层上的光致抗蚀剂层以光学方式进行构图。
9.如权利要求8所述的方法,还包括使用刻蚀后的碳基层作为硬掩模而刻蚀在所述碳基层下面的介电层。
10.一种刻蚀方法,用来刻蚀形成在衬底上并至少包含40%的碳的碳基层,所述方法包括:
将所述衬底放置到具有基座电极和与所述基座相对的喷头电极的等离子刻蚀腔,其中所述基座电极支撑所述衬底并由工作在HF频率下的RF电源通过匹配电路加偏压,通过所述喷头电极供应所述刻蚀气体并且所述喷头电极由工作在比HF频率高的VHF频率下并且被施加到电容性地耦合到所述喷头电极的短截线电路的第二RF电源加偏压;
将所述碳基层暴露到包括氢气、氮气和一氧化碳的刻蚀气体的等离子体刻蚀腔内的等离子体。
11.如权利要求10所述的方法,还包括将电流流过以离所述腔的中心轴线不同的半径布置在所述喷头背面的两个同轴线圈。
12.如权利要求1至6中的任一项所述的方法,还包括将所述衬底放置到等离子刻蚀腔中并在刻蚀期间将所述腔的压强保持在50毫托以上。
13.如权利要求1所述的方法,还包括将所述衬底放置于等离子体刻蚀腔中并在刻蚀期间将所述腔的压强保持在仅为20毫托。
14.如权利要求10所述的方法,其中所述化学气相沉积使用烃前驱体。
15.如权利要求10或14所述的方法,其中所述碳基层包括至少60%碳。
16.如权利要求15所述的方法,其中所述碳基层包括10-40%之间的氢。
17.如权利要求10或14所述的方法,其中所述刻蚀气体的反应组分由氢气、氮气和一氧化碳组成。
18.一种刻蚀介电层结构的方法,其中所述介电层结构包括介电层和通过等离子体增强化学气相沉积形成在所述介电层上面并包含原子比为至少40%的碳和10%~60%之间的氢的碳基层,所述方法包括下列步骤:
对所述碳基层上的光致抗蚀剂层进行构图;
根据所述光致抗蚀剂层的图案用包含氢气、氮气和一氧化碳气体的刻蚀气体等离子刻蚀所述碳基层的第一步骤;
用包括碳氟化合物的刻蚀气体通过所述等离子刻蚀后的碳基层等离子刻蚀所述介电层的第二步骤。
19.如权利要求18所述的方法,其中所述碳基层包含原子比为至少60%的碳和10%~40%之间的氢。
20.如权利要求19所述的方法,其中所述碳基层具有至少400nm的厚度。
21.如权利要求18至20中的任一项所述的方法,其中所述第一步骤和第二步骤在具有被RF加偏压的基座电极和喷头电极的等离子刻蚀反应器中执行,其中所述基座电极支撑在其中形成所述介电层结构的衬底,所述刻蚀气体流过所述喷头电极并且所述喷头电极被VHF电源电容性地加偏压。
22.如权利要求18至20中的任一项所述的方法,其中所述介电层结构还包括在所述碳基层上面的抗反射层,在其上所述光致抗蚀剂层被形成图案,所述方法还包括等离子刻蚀所述抗反射层的第三步骤。
23.如权利要求22所述的方法,其中所述第一、第二和第三步骤在具有被RF加偏压的基座电极和喷头电极的等离子刻蚀反应器中执行,其中所述基座电极支撑在其中形成所述介电层结构的衬底,所述刻蚀气体流过所述喷头电极并且所述喷头电极被VHF电源电容性地加偏压。
CN200610140012XA 2005-10-05 2006-10-08 对碳基硬掩模进行开口的方法 Expired - Fee Related CN1953146B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/244,422 US7432210B2 (en) 2005-10-05 2005-10-05 Process to open carbon based hardmask
US11/244,422 2005-10-05

Publications (2)

Publication Number Publication Date
CN1953146A CN1953146A (zh) 2007-04-25
CN1953146B true CN1953146B (zh) 2011-04-13

Family

ID=37902459

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200610140012XA Expired - Fee Related CN1953146B (zh) 2005-10-05 2006-10-08 对碳基硬掩模进行开口的方法

Country Status (5)

Country Link
US (2) US7432210B2 (zh)
JP (1) JP5265100B2 (zh)
KR (2) KR101318898B1 (zh)
CN (1) CN1953146B (zh)
TW (1) TWI320203B (zh)

Families Citing this family (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208407B2 (en) * 2004-06-30 2007-04-24 Micron Technology, Inc. Flash memory cells with reduced distances between cell elements
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask
US7399712B1 (en) * 2005-10-31 2008-07-15 Novellus Systems, Inc. Method for etching organic hardmasks
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US20070123050A1 (en) * 2005-11-14 2007-05-31 Micron Technology, Inc. Etch process used during the manufacture of a semiconductor device and systems including the semiconductor device
US20070231746A1 (en) * 2006-03-29 2007-10-04 Iordanoglou Dimitrios I Treating carbon containing layers in patterning stacks
US8367303B2 (en) * 2006-07-14 2013-02-05 Micron Technology, Inc. Semiconductor device fabrication and dry develop process suitable for critical dimension tunability and profile control
US7613869B2 (en) * 2006-11-27 2009-11-03 Brigham Young University Long-term digital data storage
US7867843B2 (en) * 2006-12-22 2011-01-11 Intel Corporation Gate structures for flash memory and methods of making same
US7682986B2 (en) * 2007-02-05 2010-03-23 Lam Research Corporation Ultra-high aspect ratio dielectric etch
US7547636B2 (en) * 2007-02-05 2009-06-16 Lam Research Corporation Pulsed ultra-high aspect ratio dielectric etch
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
US20100327413A1 (en) * 2007-05-03 2010-12-30 Lam Research Corporation Hardmask open and etch profile control with hardmask open
US20090023294A1 (en) * 2007-07-16 2009-01-22 Applied Materials, Inc. Method for etching using advanced patterning film in capacitive coupling high frequency plasma dielectric etch chamber
US8962101B2 (en) 2007-08-31 2015-02-24 Novellus Systems, Inc. Methods and apparatus for plasma-based deposition
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US8435608B1 (en) 2008-06-27 2013-05-07 Novellus Systems, Inc. Methods of depositing smooth and conformal ashable hard mask films
US9245792B2 (en) * 2008-07-25 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structures
US20100167506A1 (en) * 2008-12-31 2010-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Inductive plasma doping
MY158776A (en) 2009-05-07 2016-11-15 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
WO2010127942A1 (en) 2009-05-07 2010-11-11 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
WO2010127941A1 (en) 2009-05-07 2010-11-11 Basf Se Resist stripping compositions and methods for manufacturing electrical devices
US8563414B1 (en) 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
TWI448576B (zh) * 2010-11-17 2014-08-11 Nanmat Technology Co Ltd 低介電材料及其薄膜之製備方法
US8592321B2 (en) * 2011-06-08 2013-11-26 United Microelectronics Corp. Method for fabricating an aperture
US8641828B2 (en) 2011-07-13 2014-02-04 United Microelectronics Corp. Cleaning method of semiconductor manufacturing process
US8759234B2 (en) 2011-10-17 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Deposited material and method of formation
SG193093A1 (en) * 2012-02-13 2013-09-30 Novellus Systems Inc Method for etching organic hardmasks
SG195494A1 (en) 2012-05-18 2013-12-30 Novellus Systems Inc Carbon deposition-etch-ash gap fill process
CN102709229A (zh) * 2012-05-22 2012-10-03 上海华力微电子有限公司 一种形成钨塞的方法
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9304396B2 (en) 2013-02-25 2016-04-05 Lam Research Corporation PECVD films for EUV lithography
US9230854B2 (en) 2013-04-08 2016-01-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US9320387B2 (en) 2013-09-30 2016-04-26 Lam Research Corporation Sulfur doped carbon hard masks
US9589799B2 (en) 2013-09-30 2017-03-07 Lam Research Corporation High selectivity and low stress carbon hardmask by pulsed low frequency RF power
US9691590B2 (en) * 2015-06-29 2017-06-27 Lam Research Corporation Selective removal of boron doped carbon hard mask layers
US10497578B2 (en) * 2016-07-22 2019-12-03 Applied Materials, Inc. Methods for high temperature etching a material layer using protection coating
CN107887323B (zh) * 2016-09-30 2020-06-05 中芯国际集成电路制造(北京)有限公司 互连结构及其制造方法
WO2020131608A1 (en) 2018-12-18 2020-06-25 Mattson Technology, Inc. Carbon containing hardmask removal process using sulfur containing process gas
TW202113121A (zh) 2019-05-29 2021-04-01 美商蘭姆研究公司 藉由高功率脈衝低頻率射頻產生的高選擇性、低應力、且低氫之類鑽石碳硬遮罩

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1393740A (zh) * 2001-06-25 2003-01-29 联华电子股份有限公司 蚀刻的方法
CN1543666A (zh) * 2001-08-20 2004-11-03 东京毅力科创株式会社 干式显影方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0590224A (ja) * 1991-01-22 1993-04-09 Toshiba Corp 半導体装置の製造方法
JP3998393B2 (ja) * 1999-02-25 2007-10-24 株式会社東芝 パターン形成方法
US6573030B1 (en) 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US6853141B2 (en) * 2002-05-22 2005-02-08 Daniel J. Hoffman Capacitively coupled plasma reactor with magnetic plasma control
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6894245B2 (en) 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
JP2002194547A (ja) * 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US6927178B2 (en) 2002-07-11 2005-08-09 Applied Materials, Inc. Nitrogen-free dielectric anti-reflective coating and hardmask
JP4594235B2 (ja) 2002-12-23 2010-12-08 東京エレクトロン株式会社 Arc層をエッチングする方法
US7064078B2 (en) * 2004-01-30 2006-06-20 Applied Materials Techniques for the use of amorphous carbon (APF) for various etch and litho integration scheme
US20050199585A1 (en) 2004-03-12 2005-09-15 Applied Materials, Inc. Method of depositing an amorphous carbon film for metal etch hardmask application
US7235478B2 (en) * 2005-01-12 2007-06-26 Intel Corporation Polymer spacer formation
US7432210B2 (en) * 2005-10-05 2008-10-07 Applied Materials, Inc. Process to open carbon based hardmask

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1393740A (zh) * 2001-06-25 2003-01-29 联华电子股份有限公司 蚀刻的方法
CN1543666A (zh) * 2001-08-20 2004-11-03 东京毅力科创株式会社 干式显影方法

Also Published As

Publication number Publication date
JP2007103942A (ja) 2007-04-19
TWI320203B (en) 2010-02-01
KR20070038444A (ko) 2007-04-10
US20080286977A1 (en) 2008-11-20
TW200717649A (en) 2007-05-01
CN1953146A (zh) 2007-04-25
KR20130100072A (ko) 2013-09-09
US7432210B2 (en) 2008-10-07
JP5265100B2 (ja) 2013-08-14
US20070077780A1 (en) 2007-04-05
KR101318898B1 (ko) 2013-10-17

Similar Documents

Publication Publication Date Title
CN1953146B (zh) 对碳基硬掩模进行开口的方法
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US6670278B2 (en) Method of plasma etching of silicon carbide
US6846745B1 (en) High-density plasma process for filling high aspect ratio structures
US7981810B1 (en) Methods of depositing highly selective transparent ashable hardmask films
US6613689B2 (en) Magnetically enhanced plasma oxide etch using hexafluorobutadiene
TWI352387B (en) Etch methods to form anisotropic features for high
US20090191711A1 (en) Hardmask open process with enhanced cd space shrink and reduction
US20060186087A1 (en) Etchant and method of use
US7056830B2 (en) Method for plasma etching a dielectric layer
US20020086547A1 (en) Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
TW200305947A (en) Self-aligned contact etch with high sensitivity to nitride shoulder
JP7229929B2 (ja) ハードマスク応用向けのホウ素がドープされた炭化タングステン
KR20150037638A (ko) 펄스된 저주파수 rf 전력에 의한 고 선택도 및 저 응력의 탄소 하드마스크
US6849193B2 (en) Highly selective process for etching oxide over nitride using hexafluorobutadiene
CN102939641A (zh) 改良堆迭缺陷率的非晶碳沉积方法
KR20010080467A (ko) 헥사 플루오르화 부타디엔 또는 관련 플루오르화 탄화수소를 사용하여 산화물을 에칭하고 넓은 프로세스윈도우를 명시하기 위한 프로세스
JP2002520853A (ja) 二重象眼のために特に有用な、誘電体多層構造のプラズマエッチプロセス
KR20010076361A (ko) 기질상에 필름을 형성하는 방법 및 장치
US6458671B1 (en) Method of providing a shallow trench in a deep-trench device
JP2005045053A (ja) 半導体装置の製造方法
US20030235993A1 (en) Selective etching of low-k dielectrics
US20170352586A1 (en) Hardmask layer for 3d nand staircase structure in semiconductor applications
KR102599830B1 (ko) 결함 평탄화
JP3296551B2 (ja) 凹槽に薄膜を沈積させる際のステップカバレージを改善する方法及び半導体素子の製造への適用

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110413

Termination date: 20151008

EXPY Termination of patent right or utility model