KR20130080751A - 금속 하드 마스크 제조 - Google Patents

금속 하드 마스크 제조 Download PDF

Info

Publication number
KR20130080751A
KR20130080751A KR1020120038149A KR20120038149A KR20130080751A KR 20130080751 A KR20130080751 A KR 20130080751A KR 1020120038149 A KR1020120038149 A KR 1020120038149A KR 20120038149 A KR20120038149 A KR 20120038149A KR 20130080751 A KR20130080751 A KR 20130080751A
Authority
KR
South Korea
Prior art keywords
metal
hard mask
gas
layer
deposited
Prior art date
Application number
KR1020120038149A
Other languages
English (en)
Other versions
KR101379089B1 (ko
Inventor
수-호릉 린
린-중 우
치-밍 양
친-시앙 린
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20130080751A publication Critical patent/KR20130080751A/ko
Application granted granted Critical
Publication of KR101379089B1 publication Critical patent/KR101379089B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers

Abstract

본 발명 개시는 금속 하드 마스크를 제조하는 방법과, 이런 방법에 의해 제조되는 금속 하드 마스크를 제공한다. 방법은 화학적 증기 증착(CVD)을 수행하도록 구성된 반응 체임버 안으로 적어도 하나의 금속 반응 가스를 흐르게 하는 단계를 포함하고, 적어도 하나의 금속 반응 가스는 금속-할로겐 가스 또는 금속-유기 가스를 포함한다. 방법은 적어도 하나의 금속 반응 가스를 사용하는 CVD에 의해 하드 마스크 금속층을 증착하는 단계를 더 포함한다.

Description

금속 하드 마스크 제조{METAL HARD MASK FABRICATION}
본 발명은 금속 하드 마스크 제조에 대한 것이다.
특히, 특징부 크기가 점점 더 감소함에 따라 하드 마스크는 패턴을 기판 상에 전달하기 위해 반도체 처리에서 사용된다. 금속 하드 마스크는 기하학적 형상을 감소시키기 위해 원하는 에칭 프로파일과, 임계 치수 제어를 제공할 수 있다.
하지만, 패턴 왜곡은 높은 잔여 응력(stress)으로 제조된 하드 마스크로부터 초래될 수 있고, 열 소모 비용은 하드 마스크 내의 응력을 방출하기(release) 위해 요구될 수 있다.
본 발명의 개시(disclosure)는 다양한 이로운 실시예를 위해 제공된다. 일 실시예에 따라, 금속 하드 마스크를 제조하기 위한 방법은 화학적 기상 증착(CVD: chemical vapor deposition)을 수행하도록 구성된 반응 체임버 안으로 적어도 하나의 금속 반응 가스를 흐르게 하는 단계를 포함하며, 적어도 하나의 금속 반응 가스는 금속-할로겐 가스 또는 금속-유기 가스를 포함한다. 방법은 적어도 하나의 금속 반응 가스를 사용하는 CVD에 의해 하드 마스크 금속층을 증착하는 단계를 더 포함한다. 일 양상에 따라, 방법은 증착된 하드 마스크 금속층 상에서 플라즈마 처리를 사용해서, 증착된 하드 마스크 금속층의 응력(stress) 및/또는 밀도를 조정하는 단계를 더 포함할 수 있다. 플라즈마 처리는 적어도 하나의 금속 반응 가스의 흐름을 정지시키는 단계와, 적어도 하나의 캐리어 가스로부터 형성된 플라즈마를 사용해, 증착된 하드 마스크 TiN층을 타격하는(bombarding) 단계를 포함할 수 있다.
다른 실시예에서, 금속 하드 마스크를 제조하기 위한 방법은 화학적 증기 증착(CVD)을 수행하도록 구성된 반응 체임버 안으로 적어도 하나의 금속 반응 가스와 적어도 하나의 캐리어 가스를 흐르게 하는 단계를 포함하며, 적어도 하나의 금속 반응 가스는 티타늄-할로겐 가스 또느 티타늄-유기 가스를 포함한다. 방법은 적어도 하나의 금속 반응 가스와 적어도 하나의 캐리어 가스를 사용하는 CVD에 의해 하드 마스크 TiN층을 증착하는 단계를 더 포함한다. 일 양상에 따라, 방법은 증착된 하드 마스크 TiN층 상에서 플라즈마 처리를 사용해서, 증착된 하드 마스크 TiN층의 응력 및/또는 밀도를 조정하는 단계와, 복수의 하드 마스크 TiN층을 형성하도록 하드 마스크 TiN층의 CVD와 플라즈마 처리의 복수의 주기를 수행하는 단계를 더 포함하고, 각 하드 마스크 TiN층은 한 층이 다른 층 위에 증착된다.
또 다른 실시예에서, 금속 하드 마스크는 금속-할로겐 가스 또는 금속-유기 가스를 포함하는 적어도 하나의 금속 반응 가스로부터 화학적 증기 증착(CVD)에 의해 증착된 티타늄 하드 마스크 금속층 또는 탄탈륨 하드 마스크 금속층을 포함하며, 티타늄 하드 마스크 금속층은 티타늄-할로겐 전구체 또는 티타늄-유기 전구체를 사용해서 플라즈마 강화(enhanced) CVD(PECVD: plasma enhanced CVD) 또는 금속-유기 CVD(MOCVD: metal-organic CVD)에 의해 증착되며, 탄탈륨 하드 마스크 금속층은 탄탈륨-할로겐 전구체 또는 탄탈륨-유기 전구체를 사용해서 PECVD 또는 MOCVD에 의해 증착된다.
이롭게, 본 발명 개시는 실질적으로 응력이 없거나 고밀도를 가지고 응력에서 감소되는 금속 하드 마스크층을 제조하기 위한 방법을 제공한다. 방법은 종래의 증착후 어닐링 공정을 필요로 하지 않고 단순화되어, 장치 성능을 유지하고, 고-k 완결성(integrity)을 보장하면서 열 소모 비용을 향상시킨다.
본 발명 개시의 양상은 첨부된 도면들과 함께 읽을 때 하기의 상세한 설명으로부터 이해된다. 업계의 표준 실행에 따라, 다양한 특징은 실제 크기에 따라 그려지 않는다는 사실이 강조된다. 사실상, 다양한 특징부의 크기는 논의의 명확성을 위해 임의로 증가되거나 감소될 수 있다.
도 1은 본 발명 개시의 실시예에 따라 금속 하드 마스크를 제조하기 위한 방법을 예증하는 흐름도이다.
도 2는 발명 개시의 실시예에 따라 금속 하드 마스크를 제조하기 위한 다른 방법을 예증하는 흐름도이다.
도 3a, 3b, 및 3c는 각각 물리적 증기 증착(PVD), 주기적 플라즈마 처리가 없는 화학적 증기 증착(CVD), 주기적 플라즈마 처리가 있는 CVD에 의해 형성된 예시적인 층들의 그레인(grain) 구조를 예증한다.
도 4a 및 4b는 각각 예시적인 막 응력 대 플라즈마 처리 시간 및 플라즈마 전력의 그래프이다.
도 5는 본 발명의 개시의 실시예에 따라 형성된 층의 예시적인 막 밀도의 그래프이다.
도 6은 본 발명 개시의 실시예에 따라 금속 하드 마스크를 제조하기 위한 시스템을 예증한다.
하기의 개시는 본 발명 개시의 상이한 특징을 구현하기 위한 많은 상이한 실시예 또는 예시를 제공한다는 것으로 이해된다. 본 발명의 개시를 간략화하기 위해, 컴포넌트 및 배열의 특정 예시들이 이하에서 설명된다. 물론, 이런 것들은 단지 예시일 뿐이고, 제한하는 것으로 의도되지는 않는다. 또한, 이하 설명에서, 제2 특징부 위 또는 상에 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉해서 형성되는 실시예를 포함할 수 있고, 추가적인 특징부가 제1 및 제2 특징부 사이에서 형성될 수 있어 그 결과 제1 및 제2 특징부가 직접 접촉할 수 없는 실시예를 또한 포함할 수 있다. 다양한 특징부는 간략성과 명확성을 위해 상이한 크기로 임의로 도시될 수 있다. 동일하거나 유사한 특징부는 간략성과 명확성을 위해 본 명세서에서 유사한 참조번호로 지칭될 수 있다. 또한, 도면들 중 일부는 명확성을 위해 단순화될 수 있다. 따라서, 도면은 주어진 장치(또는 디바이스) 또는 방법의 컴포턴트 모두를 묘사하지 않을 수 있다.
본 발명 개시의 다양한 양상은 본 발명 개시의 이상적인 구성의 개략적 예증인 도면을 참조해서 본 명세서에서 설명될 것이다. 따라서, 결과로서 예를 들면 제조 기술과 같은 예증의 형태로부터의 변형 및/또는 허용 오차(tolerance)가 기대될 것이다. 본 발명 개시 전체를 통해 제시된 다양한 양상은 본 명세서에서 예증되고 설명되는 특정 형태의 요소(예, 영역, 층, 섹션, 기판 등)에 제한되는 것이 아니고, 예를 들면, 제조를 통해 생성되는 형태의 편차를 포함하는 것으로 해석되어야 한다. 예를 들면, 직사각형으로서 예증되거나 설명되는 요소는 하나의 요소로부터 다른 요소로의 이산적 변경이 아니고, 에지에서 둥글거나 곡선의 특징부 및/또는 경사(gradient) 농도를 가질 수 있다. 따라서, 도면에서 예증된 요소는 사실상 개략적이고, 요소의 형태는 요소의 정확한 형태를 예증하는 것을 의도하는 것이 아니고, 본 발명 개시의 범위를 제한지 않는 것으로 의도되지 않는다.
영역, 층, 섹션, 기판 등과 같은 요소가 다른 요소 "위에" 있는 것으로 참조될 때, 직접적으로 다른 요소상에 있을 수 있거나, 중간 요소가 또한 존재할 수 있다는 것을 이해할 것이다. 대조적으로, 요소가 다른 요소 "위에 직접적으로" 있다고 참조될 때, 어떠한 중간 요소가 존재하지 않는다. 하나의 요소가 다른 요소 상에 형성되는 것으로 지칭될 때, 이러한 요소는 다른 요소 또는 중간 요소 상에 성장, 증착, 에칭, 부착, 연결, 결합되거나, 그렇치 않으면 준비 또는 제조된다는 것을 또한 이해할 것이다.
다르게 정의되지 않는다면, 본 명세서에 사용된 모든 용어(기술적 및 과학적 용어를 포함함)는 본 발명 개시가 속한 분야의 당업자 중 하나에 의해 통상적으로 이해되는 것과 동일한 의미를 갖는다. 통상적으로 사용되는 사전에서 정의된 용어와 같은 용어는 관련 기술 및 본 발명 개시의 상황에서의 의미와 일치하는 의미를 갖는 것으로 해석되어야 한다는 것이 또한 이해될 것이다.
본 명세서에서 사용된, 단수형 표현들은 문맥에서 명백히 이와 달리 표시되지 않는 한, 복수형 표현도 포함하는 것으로 의도된다. 본 명세서에서 용어 "포함한다" 및/또는 "포함하는"이 사용될 때에, 진술된 특징, 정수, 단계, 동작, 엘리먼트, 및/또는 컴포넌트의 존재를 규정하지만, 하나 이상의 다른 특징, 정수, 단계, 동작, 요소, 컴포넌트 및/또는 이들의 그룹의 존재 또는 추가를 배제하지 않음을 또한 이해할 것이다. 용어 "및/또는"은 연관되어 나열된 하나 이상의 항목들 중 임의의 그리고 모든 조합을 포함한다.
비록 용어 "제1", "제2", "제3" 등이 본 명세서에서 RF 파워, 탭 설정, 전구체 가스, 전극 등과 같은 다양한 공정 파라미터 또는 요소를 설명하기 위해 사용될 수 있지만, 이러한 공정 파라미터 또는 요소는 이러한 용어에 의해 제한되지 않아야 한다는 것이 이해될 것이다. 이러한 용어는 하나의 공정 파라미터 또는 요소를 다른 공정 파라미터 또는 요소와 구별하기 위해서만 사용된다. 따라서, 이하 논의되는 제1 RF 파워, 가스, 탭 설정, 또는 전극은 본 발명 개시의 교시로부터 이탈하지 않고 제2 RF 파워, 가스, 탭 설정, 또는 전극이라고 지칭될 수 있다.
다수의 처리 단계 및/또는 장치의 특징은 단지 간단하게 설명될 수 있으며, 이러한 단계 및/또는 특징은 당업자에게 잘 알려져 있다는 것이 이해된다. 또한, 청구항들을 여전히 구현하면서, 추가적인 처리 단계 또는 특징이 추가될 수 있고, 후속 처리 단계 또는 특징 중 일부가 제거될 수 있고/있거나 변경될 수 있다. 따라서, 후속 설명은 단지 예시를 나타낸다는 것으로 이해되어야 하고, 하나 이상의 단계 또는 특징이 요구되는 것을 제안한다고 의도되지 않는다.
도 1 및 2를 참조하면, 본 발명 개시의 실시예에 따라 금속 하드 마스크를 제조하기 위한 방법(100) 및 방법(200)을 예증하는 흐름도가 각각 도시된다.
방법(100)은 블록(102)에서 화학적 증기 증착(CVD)을 수행하도록 구성된 반응 체임버 안으로 적어도 하나의 금속 반응 가스를 흐르게 하는 단계를 포함하고, 적어도 하나의 금속 반응 가스는 금속-할로겐 가스 또는 금속-유기 가스를 포함한다. 방법(100)은 블록(104)에서 적어도 하나의 금속 반응 가스를 사용하는 CVD에 의해 하드 마스크 금속층을 증착하는 단계를 더 포함한다. 일 실시예에 따라, 방법은 증착된 금속층 상에서 플라즈마 처리를 사용해서, 증착된 하드 마스크 금속층의 응력 및/또는 밀도를 조정하는 단계를 더 포함할 수 있다. 플라즈마 처리는 적어도 하나의 금속 반응 가스의 흐름을 정지시키는 단계와, 적어도 하나의 캐리어 가스로부터 형성된 플라즈마를 사용해, 증착된 금속층을 타격하는(bombarding) 단계를 포함할 수 있다.
방법(200)은 블록(202)에서 화학적 증기 증착(CVD)을 수행하도록 구성된 반응 체임버 안으로 적어도 하나의 금속 반응 가스와 적어도 하나의 캐리어 가스를 흐르게 하는 단계를 포함하고, 적어도 하나의 금속 반응 가스는 금속-할로겐 가스 또는 금속-유기 가스를 포함한다. 방법(200)은 블록(204)에서 적어도 하나의 금속 반응 가스를 사용하는 CVD에 의해 하드 마스크 금속층을 증착하는 단계와, 블록(206)에서 증착된 하드 마스크 금속층상에서 플라즈마 처리를 사용해서, 증착된 하드 마스크 금속층의 응력 및/또는 밀도를 조정하는 단계를 더 포함한다. 플라즈마 처리는 적어도 하나의 금속 반응 가스의 흐름을 정지시키는 단계와, 적어도 하나의 캐리어 가스로부터 형성된 플라즈마를 사용해, 증착된 금속층을 타격하는 단계를 포함한다. 방법(200)은 결정 블록(210)에서 금속층 또는 금속 하드 마스크의 원하는 전체 두께가 도달되었는지의 여부를 결정하는 단계를 더 포함한다. 만약 원하는 전체 두께가 도달되지 않았다면("No"), 블록(204 및 206)이 복수의 금속층 - 각 금속층은 하나의 금속층이 다른 층 위에 증착되는 형태로 증착됨 -을 형성하도록 금속층 CVD와 플라즈마 처리의 복수의 주기를 수행하도록 반복된다. 만약 원하는 전체 두께가 도달되었으면("Yes"), 방법(200)은 종료된다.
위에서 설명된 방법(100과 200)의 다양한 단계는 다양한 기술, 수단, 장치, 및/또는 시스템에 의해 수행될 수 있다. 방법(100 또는 200)의 동작이 본 발명의 다양한 양상의 범위 내에서 재배열되거나 수정될 수 있다는 것이 주목되어야 한다. 추가적인 공정은 방법(100 또는 200)의 동작 이전, 동안, 그리고 이후에 제공될 수 있고, 일부 다른 공정은 본 명세서에서 간단히 설명될 수 있다는 것이 또한 주목된다. 따라서, 다른 구현은 본 명세서에서 설명된 다양한 양상의 범위 내에서 가능하다.
본 발명 개시의 일 실시예에 따라, 반응 체임버는 기판(예, 유전체)을 지지하도록 구성된 제1 전극과, 제1 전극과 기판 위에 배치된 제2 전극을 포함할 수 있다. 무선 주파수(RF) 전력은 금속층을 기판 위에 증착하도록 제1 전극과 제2 전극 사이에 인가될 수 있다. 다른 RF 전력은 종료 동작 동안 제1 전극과 제2 전극 사이에 인가될 수 있고, 하나의 예시에서, 제2 RF 전력은 제1 RF 전력보다 낮을 수 있다. 다른 말로 하자면, 증착 동작은 적어도 하나의 반응 가스와 캐리어 또는 희석 가스를 증착 체임버 안으로 흐르게 하는 동작과, 제1 (주요 증착) 전력 레벨을 반응 체임버의 전극에 인가하는 동작과 함께 발생할 수 있다. 제2 전력은 증착 동작 후에 후속적으로 발생하는, 종료 동작 또는 플라즈마 처리 동작 동안 반응 체임버의 전극들 사이에 인가될 수 있다.
본 발명 개시의 양상에 따라, 증착된 하드 마스크 금속층은 티타늄층, 티타늄질화물층, 탄탈륨층, 또는 탄탈륨질화물층을 포함할 수 있다. 다른 양상에 따라, 하드 마스크 금속층은 플라즈마 강화 CVD(PECVD) 또는 금속-유기 CVD(MOCVD)에 의해 증착될 수 있다.
본 발명 개시의 또 다른 양상에 따라, 적어도 하나의 금속 반응 가스가 티타늄-할로겐 가스(예, TiCl4), 티타늄-유기 가스{예, TDMAT(tetrakis-(dimethylamido)titanium), TDEAT(tetrakis-(diethylamido)titanium)}, 탄탈륨-할로겐 가스, 탄탈륨-유기 가스, 및 이것들의 조합으로 이루어진 그룹으로부터 선택된다. 본 발명 개시의 다른 양상에 따라, 방법(100 및 200) 각각은 제2 반응 가스를 반응 체임버 안으로 흐르게 하는 단계를 더 포함하고, 제2 반응 가스는 질소, 암모니아, 및 이것들의 조합을 포함하는 그룹으로부터 선택된다. 본 발명 개시의 또 다른 양상에 따라, 캐리어 가스는 헬륨, 아르곤, 수소, 및 이것들의 조합으로 이루어진 그룹으로부터 선택된다. 하나의 예시에서, TiN막을 형성하도록, Ti 함유 가스와 N 함유 가스가 전구체 가스로서 사용되고, 불활성 가스는 캐리어 가스로서 사용되고, 증착 동작 동안 전극들 사이에 인가되는 전력은 약 0초에서 약 20초 사이 동안에 약 0 와트에서 약 200 와트 사이의 범위이다. 공정 온도는 섭씨 약 350에서 섭씨 약 450도 사이의 범위일 수 있고, 공정 압력은 약 1 토르에서 약 50 토르 사이의 범위일 수 있다.
PECVD 공정의 일 실시예에서, RF 전력이 반응 체임버 내에서 두 개의 전극들 사이에 인가될 때, 글로우 방전(즉, 플라즈마)이 형성된다. 반응 체임버 내에 포함된 반응 가스는 화학적 반응종(reactive species)(예, 원자, 이온 및 라디칼)을 생성한다. 이러한 반응종은 기판 물질의 표면으로 확산되고 이 표면 상에 흡수된다. RF 파워는 상부 전극 및 하부 전극 모두에 인가될 수 있다. 본 발명 개시의 또 다른 양상에 따라, RF 파워가 상부 전극에 인가될 수 있고, 하부 전극은 접지 전위로 유지될 수 있다. 임피던스 정합 유닛은 플라즈마에 의해 흡수되는 RF 파워의 분량을 최대화하기 위해 조정될 수 있다. 임피던스 정합 유닛의 설정은 본 명세서에서 탭 설정이라고 지칭되고, 상부 전극 및 하부 전극 사이에 적용되고, 플라즈마에 의해 흡수되는 최대 RF 파워에 대응한다.
본 발명 개시의 양상에 따라, 플라즈마 처리는 반응 체임버 내로 적어도 하나의 금속 반응 가스의 흐름을 정지시키는 단계와, 질소, 암모니아, 아르곤, 헬륨, 수소, 또는 이것들의 조합으로부터 형성된 플라즈마를 사용해서 증착된 하드 마스크 금속층을 타격해서, 증착된 금속층의 응력 및/또는 밀도를 조정하는 단계를 포함할 수 있다. 증착된 막의 밀도는 플라즈마 처리에 의해 증가될 수 있고, 염소-함유 전구체 가스의 경우를 위해 일부 예시에서 플라즈마 처리는 염소 함유량을 제거할 수 있다. 하나의 예시에서, 플라즈마 처리 동작 동안 전극들 사이에 적용되는 파워는 약 0초에서 약 20초 사이의 시간 동안에 약 0 와트에서 약 200 와트 사이의 범위에 있을 수 있다. 공정 온도는 섭씨 약 350도에서 섭씨 약 450도 사이의 범위일 수 있고, 공정 압력은 약 1 토르에서 약 50 토르 사이의 범위일 수 있다.
하나의 예시에 따라, 제한할 의도가 없이, 증착된 하드 마스크 금속층의 응력은 약 109 다인/cm2에서 약 -109 다인/cm2 사이로 조정되고, 금속층의 밀도는 약 4 g/cm3보다 크게 조정된다. 또 다른 예시에서, 하드 마스크 금속층의 밀도는 약 4.5 g/cm3보다 크게 조정될 수 있다. 또 다른 예시에서, 하드 마스크 금속층의 밀도는 약 4.8 gm/cm3이 되도록 조정될 수 있다.
본 발명 개시의 양상에 따라, 방법(100)은 복수의 하드 마스크 금속층을 형성하도록 하드 마스크 금속층 CVD와 플라즈마 처리의 복수의 주기를 수행하는 단계를 더 포함하고, 각 하드 마스크 금속층은 한 층이 다른 층 위에 배치되는 형태로 증착된다. 방법(100) 또는 방법(200)에서 복수의 하드 마스크 금속층을 형성하도록 증착 및 플라즈마 처리의 복수의 주기의 경우를 위해, 한 예시에서는 각각의 또는 일부 주기에서 상이한 증착 및 플라즈마 처리 파라미터가 사용되거나, 다른 예시에서는 각각의 주기에서 고정된 증착 및 플라즈마 처리 파라미터가 사용될 수 있다. 금속 하드 마스크의 전체 두께는 변경될 수 있고, 증착 및 플라즈마 처리 주기(즉, 하나의 주기는 증착 동작 및 플라즈마 처리 동작을 포함한다)는 한 예시에서 약 3개의 주기에서 약 20개의 주기 사이의 범위에 있을 수 있다.
본 발명 개시의 또 다른 양상에 따라, 제1 전극과 제2 전극 사이에 인가된 RF 전력은 변경될 수 있다. RF 전력은 반응 체임버로의 적어도 하나의 반응 가스의 흐름을 정지시킴으로써, 반응 체임버로의 적어도 하나의 캐리어 가스의 흐름을 정지시킴으로써, 체임버 압력을 변경함으로써, 상이한 전력을 제1 전극 및/또는 제2 전극에 인가함으로써, 제2 전극에 결합된 임피던스 정합에 대한 탭(tap) 설정을 변경함으로써, 그리고 이러한 동작들의 조합과 같은 다양한 공정에 의해 변경될 수 있다.
하나의 예시에서, RG 전력은 반응 체임버로의 적어도 하나의 반응 가스의 흐름을 정지시킴으로써, 반응 체임버로의 적어도 하나의 캐리어 가스의 흐름을 정지시킴으로써, 체임버 압력을 변경시킴으로써, 또는 이런 동작들의 조합에 의해 수동적으로 변경될 수 있다. 다른 예시에서, RF 전력은 제1 전극 및/또는 제2 전극에 상이한 전력을 인가함으로써, 제2 전극에 결합된 임피던스 정합에 대한 탭 설정을 변경함으로써, 또는 이것들의 조합에 의해 능동적으로 변경될 수 있다. 또 다른 예시에서, 증착 동작 동안, 제1 체임버 압력, 제1 체임버 온도, 및 제1 최대 인가된 RF 전력이 반응 체임버의 전극들 사이에 제공될 수 있다. 종료 동작 또는 플라즈마 처리 동작 동안, 제2 체임버 압력, 제2 체임버 온도와, 제2 최대 인가된 RF 전력이 제공될 수 있다.
본 발명 개시의 또 다른 양상에 따라, 플라즈마는 저주파수 RF(LFRF: low frequency RF) 전력을 제1 전극에 인가함으로써, 고주파수 RF(HFRF: high frequency RF) 전력을 제2 전극에 인가함으로써, 그리고 제1 및 제2 전극 사이에 플라즈마를 형성함으로써 형성될 수 있다.
본 발명 개시의 또 다른 양상에 따라, 제1 및 제2 전극 사이에 RF 전력을 인가하기 전에, 체임버 압력은 주요 증착 동작, 종료 동작, 또는 플라즈마 처리 동작 동안에 약 1 토르에서 약 50 토르 사이로 제공될 수 있고, 체임버 온도는 주요 증착 동작, 종료 동작, 또는 플라즈마 처리 동작 동안에 섭씨 약 350도에서 섭씨 약 450도 사이로 제공될 수 있다.
이롭게, 본 발명 개시는 실질적으로 응력이 없거나, 예를 들면, 약 4 g/cm3보다 큰 고밀도를 가지고 응력에서 감소되는 금속 하드 마스크층을 제조하기 위한 방법을 제공한다. 방법은 종래의 증착 후 어닐링 공정을 필요로 하지 않고 단순화되어, 장치 성능을 유지하고, 고-k 완결성(integrity)을 보장하면서 열 소모 비용을 향상시킨다.
이제 도 3a, 3b, 및 3c를 참조하면, 각각 물리적 증기 증착(PVD), 주기적 플라즈마 처리가 없는 화학적 증기 증착(CVD), 주기적 플라즈마 처리가 있는 CVD에 의해 형성되는 층들(310, 320, 및 330)의 예시적인 그레인 구조가 예증된다.
도 3a는 매트릭스 내에서 높은 정역학적 응력장(hydrostatic strain field)을 가지고 PVD에 의해 증착된 원주형 그레인(312)을 갖는 TiN층(310)을 예증한다. 불리하게, PVD 처리는 고전력을 요구하고, 변위를 야기하며, 원주형 그레인은 응력/스트레스(strain/stress)을 효과적으로 방출할 수 없다. 도 3b는 CVD에 의해 증착된 등축정(equiaxed grain)(322)을 갖는 TiN층(320)을 예증한다. 이롭게, CVD 처리는 응력/스트레스를 효과적으로 방출할 수 있는 등축정을 제공한다. 도 3c는 CVD에 의해 증착된 등축정(332)을 가지며, 주기적 플라즈마 처리를 갖는 TiN층(330)을 예증한다. 이롭게, 주기적 증착 및 플라즈마 처리로부터 형성된 등축정(332)은 플라즈마 처리 없이 형성된 등축정(322)보다 크기 때문에, 실질적으로 응력이 없거나, 고밀도를 가지고 응력에서 감소되는 층을 제공한다.
이제 도 4a 및 4b를 참조하면, 본 발명 개시의 예시적인 실시에에 따라 예시적인 막 응력 대 플라즈마 처리 시간 및 플라즈마 전력의 그래프(410 및 420)가 각각 도시된다. 그래프(410)는 x축에 초 단위의 질화 시간(즉, 질소를 사용한 플라즈마 처리)과, y-축에 다인/cm2의 막 응력을 도시한다. 막 응력은 하나의 예시에서 약 109 다인/cm2에서 약 -109 다인/cm2 사이에서, 다른 예시에서 약 0.8 x109 다인/cm2에서 약 -0.8 x 109 다인/cm2 사이인 것으로 도시되며, 막 응력은 질화 시간이 증가함에 따라 포지티브에서 네가티브로 변화한다. 그래프(420)는 x축에 와트 단위의 질화 RF 전력과, y-축에 다인/cm2의 막 응력을 도시한다. 막 응력은 하나의 예시에서 약 109 다인/cm2에서 약 -109 다인/cm2 사이에서, 다른 예시에서 약 0.5 x109 다인/cm2에서 약 -0.6 x 109 다인/cm2 사이인 것으로 도시되며, 막 응력은 질화 RF 전력이 증가함에 따라 포지티브에서 네가티브로 변화한다. 따라서, 막 응력은 플라즈마 처리 시간, 인가된 RF 전력, 및/또는 플라즈마 처리 주기의 개수에 기초한 레벨로 조정될 수 있다.
도 5는 본 발명의 개시의 예시적인 실시예에 따라 형성된 층의 예시적인 막 밀도의 그래프이다. TiN층의 막 밀도는 하나의 예시에서 약 4 gm/cm3보다 크고, 다른 예시에서는 약 4 gm/cm3보다 큰 것으로 도시된다. 막 밀도는 증착된 층의 미세 구조 및 그레인 크기에 영향을 주는, 플라즈마 처리 시간, 인가된 RF 전력, 및/또는 증착 및 플라즈마 처리의 주기 개수에 기초해서 하나의 레벨로 조정될 수 있다.
도 6을 참조하면, 본 발명 개시의 실시예에 따라 금속 하드 마스크를 제조하기 위한 시스템(600)이 예증된다. 시스템(600)은 기판(614)을 지지하도록 구성된 제1 전극(612)과, 제1 전극(612) 및 기판(614) 위에 배치된 제2 전극(616)을 포함하는 반응 체임버(610)(예, CVD 체임버)를 포함한다. 시스템(600)은 적어도 하나의 반응 가스 소스(620)와 적어도 하나의 캐리어 가스 소스(630)를 더 포함하고, 각 소스는 적어도 하나의 반응 가스와 적어도 하나의 캐리어 가스를 각각 반응 체임버(610) 안으로 흐르게 하기 위해 반응 체임버(610)에 동작가능하게 결합된다. 시스템(600)은 제1 및 제2 전극들(612 및 616) 사이에 무선 주파수(RF) 전력을 인가하도록 제2 전극(616)에 결합된 임피던스 정합 유닛(650)을 더 포함한다. 탭 설정은 제1 및 제2 전극(612 및 616) 사이에 인가된 RF 전력을 제어하도록 임피던스 정합 유닛(650)에 인가될 수 있다. 증착 동작 동안, 제1 탭 설정은 제1 최대 RF 전력에 대응되게 임피던스 정합 유닛에 인가될 수 있고, 종료 동작 또는 플라즈마 처리 동작 동안, 제2 탭 설정이 제2 최대 RF 전력에 대응되게 임피던스 정합 유닛에 인가될 수 있다. 제1 RF 전력 또는 전압원(660)은 제1 전극(612)에 결합되고, 제2 RF 전력 또는 전압원(670)은 임피던스 정합 유닛(650)에 결합된다. 펌프 및 배기 공급 라인(680)은 체임버(610)에 연결되어, PECVD 동작 동안 체임버 내에 부분적 진공 조건을 확립하도록 펌핑을 제공하거나, 웨이퍼 처리 동작이 완료된 후에 시스템을 플러싱(flush out)하기 위해 사용되는 가스 배기 라인을 제공한다. 하나의 예시에서, 증착 및/또는 플라즈마 처리를 위한 공정 압력은 약 1 토르에서 약 50 토르 사이로 설정될 수 있다. 체임버(610)는 체임버 내의 요구되는 온도를 조절하도록 히팅 요소를 또한 포함할 수 있다. 하나의 예시에서, 증착 및/또는 플라즈마 처리를 위한 공정 온도는 섭씨 약 350도에서 섭씨 약 450도 사이의 범위일 수 있다.
하나의 예시에서, 체임버(610)는 위에서 설명된 공정 또는 방법(100 및/또는 200)을 수행하기에 적합한 PECVD 반응 체임버이다. PECVD 반응 체임버의 한 예시는 상표 명칭 CONCEPT I로 판매되고 캘리포니아주 샌호세 소재의 Novellus Corporation으로부터 이용가능하다. 다른 체임버는 위에서 설명된 방법들 중 임의의 방법을 수행하기 위해 사용될 수 있다.
일 양상에 따라, 제1 전극(612)은 제2 전극(616)의 맞은 편에 있고, 이 전극들 사이에 갭이 있으며, 기판(614)은 제1 및 제2 전극(612 및 616) 사이에 배치된다. 다른 양상에 따라, 제1 전극(612)은 애노드로서 기능하고, 제1 RF 전력 소스(660)로부터 저주파수 RF(LFRF) 전력을 제공받을 수 있고, 제2 전극(616)은 캐소드로서 기능하고, 제2 RF 전력 소스(670)으로부터 고주파수 RF(HFRF) 전력을 제공받을 수 있다. 일 실시예에서, 제2 전극(616)은 제1 전극에 인접해서 동작가능하게 배치되고, 가스 공급원으로부터 체임버(610) 안으로 가스 반응물을 제공하도록 구성된 샤워 헤드 전극을 포함할 수 있다. 다른 실시예에서, 가스 반응물은 제2 전극으로부터 분리된 입구를 통하거나 다른 수단을 통해서 체임버(610)에 진입할 수 있다.
제1 전극(612)은 예를 들면, 반도체 웨이퍼 또는 유전 기판의 형태의 적어도 하나의 기판을 지지하기 위해 구성된다. 본 명세서에서 제1 전극과 관련해서 사용되는 용어 "지지하는(supporting)"은 화학적 증기 증착이 발생할 수 있도록 원하는 방향으로 하나 이상의 기판을 유지하거나 위치시키는 것을 의미하도록 의도된다. 따라서, 기판은 도시된 수평 위치가 아닌 다른 방향으로 지지되거나, 유지되거나, 위치될 수 있다. 또한, 비록 평행 플레이트 PECVD 체임버가 예증되지만, 미국 특허 6,705,246(이 미국 특허의 개시는 모든 목적을 위해 본 명세서에서 참조에 의해 병합됨)에 설명된 유도 코일 PECVD 체임버와 같은 다른 RF 전력 시스템이 본 발명 개시의 방법을 수행하기 위해 적용가능하다. 또한, 비록 체임버(610)가 두 개의 전극을 가진 것으로 도시되지만, 반응 체임버 및 방법은 반드시 단지 두 개의 전극만으로 제한되지는 않는 시스템 내에서 사용될 수 있다는 것이 이해되어야 한다. 또한, 단일 기판이 처리되는 것으로 도시되지만, 다른 실시예에서, 반응 체임버는 하나보다 많은 기판을 처리하도록 구성될 수 있다.
일 양상에 따라, 기판(614)은 상이한 제조 스테이지에서 다양한 반도체 장치, 및/또는 다른 적절한 능동 및/또는 수동 장치를 포함할 수 있다. 예시적인 반도체 장치는 상보형 MOSFET(CMOS) 특징을 포함하는 금속 산화막 반도체 전계 효과 트랜지스터(MOSFET: metal-oxide semiconductor field-effect-transistor), CIS, 및/또는 다른 적절한 능동 및/또는 수동 장치를 포함하는 집적회로를 포함한다. 일 실시예에서, 기판은 CMOS-기반 공정을 사용해서 설계되고 형성된 집적회로(또는 그 일부분)를 포함할 수 있다. 다른 반도체 제조 기술에 의해 형성된 장치(예, 집적회로)갖는 기판은 또한 상기 설명된 방법의 범위 내에 있다.
일 실시예에서, 기판(614)은 반도체 기판을 포함할 수 있고, 실리콘으로 구성될 수 있거나, 대안적으로 실리콘 게르마늄, 갈륨 비소, 또는 다른 적절한 반도체 물질을 포함할 수 있다. 반도체 기판은 사전 공정 단계 동안 형성되거나, 후속 공정 단계 동안 형성될 수 있는 하부층, 장치, 접합부, 및 다른 특징부(미도시)를 포함할 수 있다.
일 양상에 따라, 반응 가스 소스(620)와 캐리어 가스 소스(630)는 각각 저장소를 포함할 수 있고, 공급 라인(622, 632)과 공통 가스 공급 라인(642)을 통해 사전혼합 체임버(640)에 결합된다. 반응 가스 소스(620)와 희석 가스 소스(630)로부터 각각 공급되는 적어도 하나의 반응 가스와 적어도 하나의 캐리어 가스는 반응 체임버(610) 안으로 흐르기 전에 실질적으로 균일한 가스 혼합물 안으로 사전혼합되기 위해 사전혼합 체임버(640)에 제공될 수 있다. 일 예시에서, 사전혼합 체임버(640)는 체임버(610)에 직접적으로 인접되게 배치된다.
반응 가스 소스(620)는 종래의 버블러(bubbler) 체임보, 히터 코일, 셧오프 밸브, 및/또는 출력 포트를 포함할 수 있다. 일 예시에서, 반응 가스 소스(620)는 티타늄층, 티타늄질화물층, 탄탈륨층, 또는 탄탈륨질화물층과 같은, 증착될 원하는 금속층에 따라 다양한 반응 가스를 제공할 수 있다. 일 예시에서, 반응 가스는 티타늄-할로겐 가스(예, TiCl4), 티타늄-유기 가스{예, TDMAT(tetrakis-(dimethylamido)titanium), TDEAT(tetrakis-(diethylamido)titanium)}, 탄탈륨-할로겐 가스, 탄탈륨-유기 가스, 및 이것들의 조합을 포함할 수 있지만, 이러한 것들에 제한되지는 않는다. 다른 예시에서, 질소 또는 암모니아와 같은 질소-함유 가스가 반응 가스로서 포함될 수 있다. 비록 단일 반응 가스 소스(620)가 예증되지만, 시스템(600)은 반응 가스 소스(620)와 유사한 방식으로 반응 체임버(610)에 결합되고 필요한 대로 복수의 반응 가스 소스를 포함할 수 있다.
캐리어 가스 소스(630)는 히터 코일, 셧오프 밸브 및/또는 출력 포트를 포함할 수 있고, 헬륨, 아르곤과 수소를 포함하는(이러한 가스로만 제한되지는 않음) 다양한 캐리어 가스를 제공할 수 있다.
비록 필수적으로 도시되지는 않았지만, 반응 가스와 캐리어 가스는 흐름 라인, 압력 조절기, 밸브, 질량 흐름 제어기 또는 다른 흐름 제어기, 매니폴드(manifold), 및/또는 조절기와 같은 다양한 장치를 통해 반응 가스 소스(620)와 캐리어/희석 가스 소스(630)로부터 증착 체임버(610)까지 흘러 갈수 있다. 일 예시에서, 질량 흐름 제어기는 전자 유형일 수 있고, 가변 설정점이 선택된 상수 가스 흐름 속도를 제공하고, 시스템의 다양한 흐름 라인에서의 온도 변화와 압력 변화와 같은, 외부 파라미터 변화를 자동으로 보상하도록 구성될 수 있다. 매니폴드는 다양한 조합된 가스들의 사전 혼합을 제공하도록 사용될 수 있다.
공급 라인(622와 632) 모두에는 시스템 동작을 시작하고 정지시키도록 임의의 시간에 사용될 수 있는 셧오프 밸브가 제공될 수 있다. 셧오프 밸브는 또한 반응 가스 소스(620) 및/또는 캐리어 가스 소스(630)에 포함될 수 있다.
시스템(600)은 증착을 시작하기 전에 퍼지(purge) 가스 소스를 체임버(610) 안으로 흘러보내기 위해 체임버(610)에 동작가능하게 결합되고, 시스템을 플러싱(flush)하도록 또한 사용될 수 있는 퍼지 가스 소스를 더 포함할 수 있다. 퍼지 가스 소스는 질소 또는 아르곤(이러한 기체에만 제한되지는 않음)을 포함하는 다양한 퍼지 가스를 제공할 수 있다.
하나의 예시적인 동작에서, 기판(614)은 애노드 전극의 상부면상에 증착된 실리콘 웨이퍼 또는 유전 기판일 수 있고, 실리콘 웨이퍼는 궁극적 또는 완전한 집적회로의 제조 동작의 완료를 위해 다양한 스테이지까지 처리되었을 수 있다. 예를 들면, 웨이퍼는 전도 상호연결 스트립이 웨이퍼 표면상에 배치되고 상호연결되는 스테이지까지 처리되어서, 이제 웨이퍼상에 실리콘산화물 또는 실리콘질화물, 또는 이 둘다로 이루어진 보호 유전 코팅을 수용할 준비가 되어 있다.
일 실시예에서, 저주파수 RF 소스(660)와 고주파수 RF 소스(670)로부터 수신된 파워 비율은 증착된 유전층의 응력을 높은 인장(tensile) 상태에서 높은 압축 상태로 변하도록 변경될 수 있다. 일 예시에서, 고주파수 RF 소스(670)는 13.56 메가헤르쯔에서 동작될 수 있고, 저주파수 RF 소스(660)는 10 내지 440 킬로헤르쯔 사이에서 동작될 수 있다. 일 예시에서, PECVD 체임버에 인가되는 전체 RF 파워는 약 0 와트에서 약 200 와트 사이의 범위일 수 있다. 비록 두 개의 RF 파워 소스(660과 670)가 예증되지만, 단일 RF 파워 소스가 다른 실시예에서 각 전극(612와 616)에 RF 파워를 인가하도록 사용될 수 있다. RF 파워 분리기와 단일 RF 파워 소스의 예시는 미국 특허 출원 6,705,246에서 예증되고 설명되며, 이 출원의 개시(disclosure)는 본 명세서에서 참조에 의해 이전에 병합되었다.
고주파수 RF 소스(670)는 고주파수 RF 파워가 RF 소스(670) 안으로 되반사(reflected back)되는 것을 실질적으로 방지할 수 있는 임피던스 정합 목적을 위해, 그리고 플라즈마에 의해 흡수되는 RF 파워의 분량을 최대화하도록 임피던스 정합 유닛(650)을 통해 도시된 것과 같이 연결된다. 임피던스 정합 유닛(650)은 임피던스 정합을 위해, 그리고 반응 체임버(610)의 제1 및 제2 전극(612, 616) 사이에 인가되는 파워를 최대화하기 위해 구성된 다양한 용량성 및 유도 컴포넌트를 포함한다.
탭 설정은 제1 전극과 제2 전극 사이에 최대 무선 주파수(RF) 파워를 적용하도록 제2 전극에 결합된 임피던스 정합 유닛에 적용될 수 있다. 인가된 최대 RF 파워에 대응하는 탭 설정은 다양한 공정 파라미터에 종속될 수 있다.
RF 소스들(660과 670)은 RF 파워를 전극에 제공하도록 구성되는데, 이런 구성은 처리 체임버 내에 플라즈마 처리 환경을 개발하고, 기판에 대해 원하는 바이어스를 제공하기에 효과적이다. 예를 들면, 전극들 사이의 파워 차이는 해당 기판을 향해 이온 또는 이온종의 가속을 용이하게 할 수 있어서, 정각의 커버리지(conformal coverage)를 강화시키고/시키거나 막 또는 층 조성에서 더 큰 균일성을 제공할 수 있다.
당업자에 의해 제어되는 방식으로 반응 가스 소스를 변형시킴으로써 위에서 구체적으로 설명된 것과는 다른 물질을 증착시키는 것은 본 발명 개시 및 본 명세서에 첨부된 청구항들 내에 또한 있다. 또한, 위에서 설명된 공정 중 적어도 일부를 위해, 반응 가스 소스와 캐리어 가스 소스를 실온에서 동작시켜 이전에 설명된 바와 같이 히팅 요소를 제거하는 것이 가능하다. 즉, 위에서 설명되고, 가스 소스와 반응 체임버(610) 사이에 위치한 다양한 증기 및 가스 전달 라인은 상대적으로 짧을 수 있어서, 실온에서 이 시스템의 전달 라인 내에 적절한 증기 흐름을 얻는 것이 가능하게 된다.
선택 사항으로서, 그리고 더 높은 온도가 처리되고 있는 반도체 구조를 부적당하게 저하시킬 것이지 여부에 따라 섭씨 약 300도에서 섭씨 약 500도 사이의 넓은 온도 범위 내에서 위의 예시들 모두에서 반응 체임버(610)를 동작시키는 것이 또한 가능하다. 하나의 예시에서, 공정 온도는 섭씨 약 350도에서 섭씨 약 450도 사이의 범위일 수 있다. 따라서, 이런 그리고 다른 공정 및 물질 변형은 아래에 첨부된 청구항들의 범위 내에 명백히 있다.
따라서, 본 발명 개시는 다양한 실시예를 제공한다. 일 실시예에 따라, 금속 하드 마스크를 제조하기 위한 방법은 화학적 기상 증착(CVD: chemical vapor deposition)을 수행하도록 구성된 반응 체임버 안으로 적어도 하나의 금속 반응 가스를 흐르게 하는 단계를 포함하며, 적어도 하나의 금속 반응 가스는 금속-할로겐 가스 또는 금속-유기 가스를 포함한다. 방법은 적어도 하나의 금속 반응 가스를 사용하는 CVD에 의해 하드 마스크 금속층을 증착하는 단계를 더 포함한다. 일 양상에 따라, 방법은 증착된 하드 마스크 금속층 상에서 플라즈마 처리를 사용해서, 증착된 하드 마스크 금속층의 응력 및/또는 밀도를 조정하는 단계를 더 포함할 수 있다. 플라즈마 처리는 적어도 하나의 금속 반응 가스의 흐름을 정지시키는 단계와, 적어도 하나의 캐리어 가스로부터 형성된 플라즈마를 사용해, 증착된 하드 마스크 TiN층을 타격하는(bombarding) 단계를 포함할 수 있다.
다른 실시예에서, 금속 하드 마스크를 제조하기 위한 방법은 화학적 증기 증착(CVD)을 수행하도록 구성된 반응 체임버 안으로 적어도 하나의 금속 반응 가스와 적어도 하나의 캐리어 가스를 흐흐르게 하는 단계를 포함하며, 적어도 하나의 금속 반응 가스는 티타늄-할로겐 가스 또느 티타늄-유기 가스를 포함한다. 방법은 적어도 하나의 금속 반응 가스와 적어도 하나의 캐리어 가스를 사용하는 CVD에 의해 하드 마스크 TiN층을 증착하는 단계를 더 포함한다. 일 양상에 따라, 방법은 증착된 하드 마스크 TiN층 상에서 플라즈마 처리를 사용해서, 증착된 하드 마스크 TiN층의 응력 및/또는 밀도를 조정하는 단계와, 복수의 하드 마스크 TiN층을 형성하도록 하드 마스크 TiN층 CVD와 플라즈마 처리의 복수의 주기를 수행하는 단계를 더 포함하고, 각 하드 마스크 TiN층은 한 층이 다른 층 위에 증착된다.
또 다른 실시예에서, 금속 하드 마스크는 금속-할로겐 가스 또는 금속-유기 가스를 포함하는 적어도 하나의 금속 반응 가스로부터 화학적 증기 증착(CVD)에 의해 증착된 티타늄 하드 마스크 금속층 또는 탄탈륨 하드 마스크 금속층을 포함하며, 티타늄 하드 마스크 금속층은 티타늄-할로겐 전구체 또는 티타늄-유기 전구체를 사용해서 플라즈마 강화(enhanced) CVD(PECVD: plasma enhanced CVD) 또는 금속-유기 CVD(MOCVD: metal-organic CVD)에 의해 증착되며, 탄탈륨 하드 마스크 금속층은 탄탈륨-할로겐 전구체 또는 탄탈륨-유기 전구체를 사용해서 PECVD 또는 MOCVD에 의해 증착된다.
이롭게, 본 발명 개시는 금속 하드 마스크를 제조하기 위한 방법 및 시스템과, 이러한 방법 및 시스템에 의해 형성되고, 예를 들면 약 4 g/cm3보다 높은 밀도를 갖는 응력으로 감소되거나 실질적으로 무응력인 하드 마스크를 제공한다. 방법은 종래의 사후 증착 어닐링 공정을 필요로 하지 않고 단순화되어, 장치 성능을 유지하고, 고-k 완결성(integrity)을 보장하면서 열 소모 비용을 향상시킨다. 따라서, 하드 마스크는 사후-증착 어닐링 공정을 요구하지 않으면서 제조될 수 있다.
비록 본 발명 개시의 실시예가 자세히 설명되었지만, 당업자는 본 발명의 개시의 정신 및 범위로부터 이탈하지 않으면서 다양한 변경, 대체 및 교체를 할 수 있다는 것을 이해해야 한다. 따라서, 모든 이러한 변경, 대체 및 교체는 아래의 청구항들에서 정의된 바와 같이 본 발명 개시의 범위 내에 포함되는 것이 의도된다. 청구항들에서, 수단-및-기능 구문은 기재된 기능을 수행한다고 본 명세서에서 설명된 구조를 포괄하도록 의도되고, 이 구조는 구조적 등가물뿐만 아니라 등가의 구조도 포함한다.

Claims (10)

  1. 금속 하드 마스크를 제조하는 방법에 있어서,
    화학적 증기 증착(CVD: chemical vapor deposition)을 수행하도록 구성된 반응 체임버 안으로 적어도 하나의 금속 반응 가스를 흐르게 하는 단계 - 상기 적어도 하나의 금속 반응 가스는 금속-할로겐 가스 또는 금속-유기 가스를 포함함 -; 및
    적어도 하나의 금속 반응 가스를 사용하는 CVD에 의해 하드 마스크 금속층을 증착하는 단계를 포함하는, 금속 하드 마스크를 제조하는 방법.
  2. 제1항에 있어서, 상기 적어도 하나의 금속 반응 가스는 티타늄-할로겐가스, 티타늄-유기 가스, 탄탈륨-할로겐 가스와, 및 탄탈륨-유기 가스로 이루어진 그룹으로부터 선택되는 것인, 금속 하드 마스크를 제조하는 방법.
  3. 제1항에 있어서, 상기 증착된 하드 마스크 금속층상에서 플라즈마 처리를 사용해서 상기 증착된 하드 마스크 금속층의 응력 및/또는 밀도를 조정하는 단계를 더 포함하는, 금속 하드 마스크를 제조하는 방법.
  4. 제3항에 있어서, 상기 플라즈마 처리는 상기 반응 체임버 내로의 상기 적어도 하나의 금속 반응 가스의 흐름을 정지시키는 단계와, 질소, 암모니아, 아르곤, 헬륨, 수소, 또는 이것들의 조합으로부터 형성된 플라즈마를 사용해서 상기 증착된 하드 마스크 금속층을 타격하는(bombarding) 단계를 포함하는 것인, 금속 하드 마스크를 제조하는 방법.
  5. 제3항에 있어서, 복수의 하드 마스크 금속층을 형성하도록 하드 마스크 금속층 CVD 및 플라즈마 처리의 복수의 주기를 수행하는 단계를 더 포함하고, 각 하드 마스크 금속층은 한 층이 다른 층 위에 배치되는 형태로 증착되는 것인, 금속 하드 마스크를 제조하는 방법.
  6. 티타늄질화물(TiN) 하드 마스크를 제조하는 방법에 있어서,
    화학적 증기 증착(CVD: chemical vapor deposition)을 수행하도록 구성된 반응 체임버 안으로 적어도 하나의 금속 반응 가스와 적어도 하나의 캐리어 가스를 흐르게 하는 단계 - 상기 적어도 하나의 금속 반응 가스는 티타늄-할로겐 가스 또는 티타늄-유기 가스를 포함함 -; 및
    상기 적어도 하나의 금속 반응 가스와 상기 적어도 하나의 캐리어 가스를 사용하는 CVD에 의해 하드 마스크 TiN층을 증착하는 단계를 포함하는, 티타늄질화물(TiN) 하드 마스크를 제조하는 방법.
  7. 제6항에 있어서, 상기 적어도 하나의 금속 반응 가스를 흐르게 하는 단계는 티타늄-염소 가스와 티타늄-탄소 가스로 이루어진 그룹으로부터 선택된 금속 반응 가스를 흐르게 하는 단계를 포함하고, 상기 캐리어 가스를 상기 반응 체임버 안으로 흐르게 하는 단계는 헬륨, 아르곤, 및 수소로 이루어진 그룹으로부터 선택된 캐리어 가스를 흐르게 하는 단계를 포함하는 것인, 티타늄질화물(TiN) 하드 마스크를 제조하는 방법.
  8. 제6항에 있어서,
    상기 증착된 하드 마스크 TiN층상에 플ㄹ즈마 처리를 사용해서 상기 증착된 하드 마스크 TiN층의 응력 및/또는 밀도를 조정하는 단계 - 상기 플라즈마 처리는 상기 적어도 하나의 금속 반응 가스의 흐름을 정지시키는 단계와, 상기 적어도 하나의 캐리어 가스로부터 형성된 플라즈마를 사용해, 상기 증착된 하드 마스크 TiN층을 타격하는(bombarding) 단계를 포함함 -; 및
    복수의 하드 마스크 TiN층을 형성하도록 하드 마스크 TiN층의 CVD와 플라즈마 처리의 복수의 주기를 수행하는 단계 - 각 하드 마스크 TiN층은 한 층이 다른 층 위에 배치되는 형태로 증착됨 -를 더 포함하는, 질화티타늄(TiN) 하드 마스크를 제조하는 방법.
  9. 금속 하드 마스크에 있어서,
    금속-염소 가스 또는 금속-탄소 가스를 포함하는 적어도 하나의 금속 반응 가스로부터 화학적 증기 증착(CVD)에 의해 증착된 티타늄 하드 마스크 금속층 또는 탄탈륨 하드 마스크 금속층을 포함하고,
    상기 티타늄 하드 마스크 금속층은 티타늄-할로겐 전구체 또는 티타늄-유기 전구체를 사용하는 플라즈마 강화 CVD(PECVD: plasma enhanced CVD) 또는 금속-유기 CVD(MOCVD: metal-organic CVD)에 의해 증착되고,
    상기 탄탈륨 하드 마스크층은 탄탈륨-할로겐 전구체 또는 탄탈륨-유기 전구체를 사용하는 PECVD 또는 MOCVD에 의해 증착되는 것인, 금속 하드 마스크.
  10. 제9항에 있어서, 상기 하드 마스크 금속층의 응력 및/또는 밀도는 상기 하드 마스크 금속층상의 플라즈마 처리에 의해 조정되었고, 상기 플라즈마 처리는 수소, 질소, 암모니아, 또는 이것들의 조합으로부터 형성된 플라즈마를 사용한 타격을 포함하는 것인, 금속 하드 마스크.
KR1020120038149A 2012-01-05 2012-04-12 금속 하드 마스크 제조 KR101379089B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/343,857 2012-01-05
US13/343,857 US8623468B2 (en) 2012-01-05 2012-01-05 Methods of fabricating metal hard masks

Publications (2)

Publication Number Publication Date
KR20130080751A true KR20130080751A (ko) 2013-07-15
KR101379089B1 KR101379089B1 (ko) 2014-03-28

Family

ID=48652683

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020120038149A KR101379089B1 (ko) 2012-01-05 2012-04-12 금속 하드 마스크 제조

Country Status (6)

Country Link
US (1) US8623468B2 (ko)
KR (1) KR101379089B1 (ko)
CN (1) CN103199007B (ko)
DE (1) DE102012206598B4 (ko)
SG (1) SG192315A1 (ko)
TW (1) TWI439562B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160015157A (ko) * 2014-07-22 2016-02-12 어플라이드 머티어리얼스, 인코포레이티드 금속 도핑된 비정질 탄소 막의 증착

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9106606B1 (en) 2007-02-05 2015-08-11 F5 Networks, Inc. Method, intermediate device and computer program code for maintaining persistency
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
CN103426819A (zh) * 2013-08-27 2013-12-04 上海华力微电子有限公司 一种金属硬掩膜层及铜互连结构的制备方法
CN103413781B (zh) * 2013-08-27 2016-03-02 上海华力微电子有限公司 一种金属硬掩膜层及铜互连结构的制备方法
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
WO2017049267A1 (en) * 2015-09-19 2017-03-23 Applied Materials, Inc. Titanium-compound based hard mask films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US20190259618A1 (en) * 2018-02-19 2019-08-22 Stmicroelectronics (Crolles 2) Sas Process for forming a layer of a work function metal for a mosfet gate having a uniaxial grain orientation
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11532698B2 (en) * 2019-09-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion barrier layer in top electrode to increase break down voltage
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11566324B2 (en) * 2020-02-27 2023-01-31 Applied Materials, Inc. Conditioning treatment for ALD productivity
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6365495B2 (en) * 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
KR0164149B1 (ko) * 1995-03-28 1999-02-01 김주용 타이타늄 카보 나이트라이드층의 개질 방법
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
DE19929306A1 (de) * 1999-06-25 2001-04-05 Infineon Technologies Ag Verfahren zur Herstellung einer strukturierten Edelmetallschicht
US6692898B2 (en) * 2001-01-24 2004-02-17 Infineon Technologies Ag Self-aligned conductive line for cross-point magnetic memory integrated circuits
KR100460086B1 (ko) * 2001-07-16 2004-12-04 주식회사 다산 씨.앤드.아이 확산방지막내에 동종 금속의 중간 금속박막을 적용한반도체 소자의 제조방법
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6884476B2 (en) * 2002-10-28 2005-04-26 General Electric Company Ceramic masking material and application method for protecting turbine airfoil component surfaces during vapor phase aluminiding
JP4076889B2 (ja) * 2003-03-26 2008-04-16 Tdk株式会社 磁気記録媒体の製造方法
US7732307B2 (en) * 2004-06-14 2010-06-08 Aviza Technology Limited Method of forming amorphous TiN by thermal chemical vapor deposition (CVD)
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
CN101427361A (zh) * 2006-02-28 2009-05-06 St微电子(克偌林斯2)股份有限公司 电介质材料中的金属互连
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
US20070254476A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning porous low-k material in the formation of an interconnect structure
US20080207006A1 (en) * 2007-02-28 2008-08-28 James Scott Martin Process for fabricating an integrated circuit
CN101611166A (zh) * 2007-03-15 2009-12-23 富士通微电子株式会社 化学气相生长装置、膜的形成方法及半导体装置的制造方法
US20100102417A1 (en) * 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US20100190272A1 (en) * 2009-01-23 2010-07-29 United Microelectronics Corp. Rework method of metal hard mask
KR101211043B1 (ko) * 2010-04-05 2012-12-12 에스케이하이닉스 주식회사 매립게이트를 구비한 반도체 장치 제조방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160015157A (ko) * 2014-07-22 2016-02-12 어플라이드 머티어리얼스, 인코포레이티드 금속 도핑된 비정질 탄소 막의 증착

Also Published As

Publication number Publication date
CN103199007A (zh) 2013-07-10
TWI439562B (zh) 2014-06-01
SG192315A1 (en) 2013-08-30
CN103199007B (zh) 2016-02-24
DE102012206598A1 (de) 2013-07-11
TW201329275A (zh) 2013-07-16
US8623468B2 (en) 2014-01-07
KR101379089B1 (ko) 2014-03-28
US20130174982A1 (en) 2013-07-11
DE102012206598B4 (de) 2016-06-30

Similar Documents

Publication Publication Date Title
KR101379089B1 (ko) 금속 하드 마스크 제조
US7651961B2 (en) Method for forming strained silicon nitride films and a device containing such films
US9852901B2 (en) Systems and methods for reducing backside deposition and mitigating thickness changes at substrate edges
US20090269941A1 (en) Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US20060029747A1 (en) Elimination of flow and pressure gradients in low utilization processes
KR101991574B1 (ko) 성막 장치, 및 그것에 이용하는 가스 토출 부재
KR101739631B1 (ko) TiN막의 성막 방법 및 기억 매체
KR20150075362A (ko) 콘택트층의 형성 방법
CN110468388B (zh) 原子层沉积法形成氮化物膜的方法
KR101759769B1 (ko) Ti막의 성막 방법
US8916480B2 (en) Chemical vapor deposition film profile uniformity control
KR20230120676A (ko) 웨이퍼 보우 (bow) 보상을 위한 배면 증착 및 국부응력 조절
KR20190113619A (ko) 붕소계 막의 성막 방법 및 성막 장치
US8426288B2 (en) Method for improving capacitance uniformity in a MIM device
US9598771B2 (en) Dielectric film defect reduction
JP2023118970A (ja) 基板処理方法、該方法を用いる基板処理装置及び半導体素子製造方法
KR20210132731A (ko) 고 에칭 선택도, 저 응력 애시 가능 탄소 하드 마스크
KR102125474B1 (ko) 박막 증착 방법
WO2020096722A1 (en) Nitride films with improved etch selectivity for 3d nand integration
KR20210024348A (ko) 박막 증착 장치 및 방법
TW202233877A (zh) 低k碳氮化硼薄膜
TW202340503A (zh) 特徵部中的大晶粒鎢生長
CN116635570A (zh) 减轻应力引发的缺陷的碳cvd沉积方法
CN112670173A (zh) 用于形成铜金属层的方法及半导体结构
WO2020068597A1 (en) Dual frequency silane-based silicon dioxide deposition to minimize film instability

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170315

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180308

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20190312

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20200311

Year of fee payment: 7