CN103199007B - 金属硬掩模的制造 - Google Patents

金属硬掩模的制造 Download PDF

Info

Publication number
CN103199007B
CN103199007B CN201210175123.XA CN201210175123A CN103199007B CN 103199007 B CN103199007 B CN 103199007B CN 201210175123 A CN201210175123 A CN 201210175123A CN 103199007 B CN103199007 B CN 103199007B
Authority
CN
China
Prior art keywords
hard mask
gas
metal
layer
cvd
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201210175123.XA
Other languages
English (en)
Other versions
CN103199007A (zh
Inventor
林思宏
吴林荣
杨琪铭
林进祥
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN103199007A publication Critical patent/CN103199007A/zh
Application granted granted Critical
Publication of CN103199007B publication Critical patent/CN103199007B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本发明提供了金属硬掩模的制造方法和由这些方法制造的金属硬掩模。方法包括将至少一种金属反应气体流入被配置为进行化学汽相沉积(CVD)的反应室中,其中,至少一种金属反应气体包括,金属卤素气体或金属有机气体。该方法进一步包括,使用至少一种金属反应气体通过CVD沉积金属硬掩模层。

Description

金属硬掩模的制造
技术领域
本发明总体上涉及半导体领域,更具体地,涉及金属硬掩模的制造。
背景技术
硬掩模在半导体加工中用于将图案转印到衬底上,尤其是随着特征尺寸逐渐缩小。对于递减的几何尺寸,金属硬掩模可以提供所需的蚀刻轮廓和关键尺寸控制。然而,在高残余应力下制造硬掩模会导致图案失真,而释放硬掩模中的应力则需要热预算。
发明内容
本发明提供各种有利的实施例。根据一个实施例,一种制造金属硬掩模方法包括,将至少一种金属反应气体流入被配置为进行化学汽相沉积(CVD)的反应室中,其中,该至少一种金属反应气体包括,金属卤素气体或金属有机气体。该方法进一步包括,使用至少一种金属反应气体通过CVD沉积硬掩模金属层。根据一个方面,该方法可以进一步包括,利用对沉积的硬掩模金属层进行的等离子体处理来调节沉积的硬掩模金属层的应力和/或密度。等离子体处理可以包括,停止至少一种金属反应气体的流入,以及使用由至少一种载气形成的等离子体轰击沉积的硬掩模TiN层。
其中,至少一种金属反应气体选自由卤素钛气体、有机钛气体、钽卤素气体、以及钽有机气体组成的组。
其中,沉积硬掩模金属层包括,通过等离子体增强型CVD(PECVD)或金属有机CVD(MOCVD)沉积钛层、氮化钛层、钽层、或氮化钽层。
该方法进一步包括,利用对所沉积的硬掩模金属层进行的等离子体处理来调节所沉积的硬掩模金属层的应力和/或密度。
其中,硬掩模金属层的应力被调节为介于大约1E9达因/cm2到大约-1E9达因/cm2之间,以及硬掩模金属层的密度被调节为大于大约4g/cm3
其中,等离子体处理包括,停止至少一种金属反应气体流入反应室,以及使用由氮气、氨气、氩气、氦气、氢气、或其组合形成的等离子体轰击所沉积的硬掩模金属层。
该方法进一步包括,进行多次循环的硬掩模金属层CVD和等离子体处理,以形成多个硬掩模金属层,向上逐层沉积每个硬掩模金属层。
该方法进一步包括,将第二反应气体流入反应室中,其中,第二反应气体选自由氮气和氨气组成的组。
该方法进一步包括,将载气流入反应室中,其中,载气选自由氦气、氩气、和氢气组成的组。
在另一个实施例中,一种用于制造金属硬掩模的方法包括,将至少一种金属反应气体和至少一种载气流入被配置为进行化学汽相沉积(CVD)的反应室中,其中,该至少一种金属反应气体包括,卤素钛气体或有机钛气体。该方法进一步包括,使用至少一种金属反应气体和至少一种载气通过CVD沉积硬掩模TiN层。根据一个方面,该方法可以进一步包括,利用对沉积的硬掩模金属层进行的等离子体处理来调节沉积的硬掩模TiN层的应力和/或密度,以及进行多个周期的硬掩模TiN层CVD和等离子体处理,以形成多个硬掩模TiN层,每个硬掩模TiN层向上逐层沉积。
其中,流入至少一种金属反应气体包括流入选自由氯化钛气体和碳化钛气体组成的组中的金属反应气体,以及其中,将载气流入反应室包括流入选自由氦气、氩气、和氢气组成的组中的载气。
其中,沉积硬掩模TiN层包括,通过等离子体增强型CVD(PECVD)或有机金属CVD(MOCVD)沉积氮化钛层。
该方法进一步包括:利用对所沉积的硬掩模TiN层进行的等离子体处理来调节所沉积的硬掩模TiN层的应力和/或密度,其中,等离子体处理包括,停止至少一种金属反应气体的流入,以及,使用由至少一种载气形成的等离子体轰击所沉积的硬掩模TiN层;以及进行多次循环的硬掩模TiN层CVD和等离子体处理,以形成多个硬掩模TiN层,向上逐层沉积每个硬掩模TiN层。
其中,硬掩模TiN层的应力被调节为介于大约1E9达因/cm2到-1E9达因/cm2之间,以及硬掩模TiN层的密度被调节为大于大约4g/cm3
其中,等离子体处理的每次循环均包括以在大约0瓦到大约200瓦之间RF功率,使用由氮气、氨气、氩气、氦气、氢气、或其组合形成的等离子体对所沉积的硬掩模TiN层轰击大约0秒到大约20秒之间的时间。
该方法进一步包括,将第二反应气体流入反应室中,其中,第二反应气体选自由氮气和氨气组成的组。
在又一个实施例中,金属硬掩模包括钛硬掩模金属层或钽硬掩模金属层,由包括金属卤素气体或金属有机气体的至少一种金属反应气体通过化学汽相沉积(CVD)形成,其中,该钛硬掩模金属层是使用卤素钛前体或有机钛前体通过等离子体增强CVD(PECVD)或金属有机CVD(MOCVD)沉积形成,以及其中,该钽硬掩模金属层是使用钽卤素前体或钽有机前体通过PECVD或MOCVD沉积形成。
其中,钛硬掩模金属层或钽硬掩模金属层分别由氮化钛和氮化钽组成,密度为大于大约4g/cm3,应力介于大约1E9达因/cm2到大约-1E9达因/cm2之间。
其中,通过对硬掩模金属层进行等离子体处理来调节硬掩模金属层的应力和/或密度,等离子体处理包括使用由氢气、氮气、氨气、或其组合形成的等离子体进行轰击。
该硬掩模进一步包括多个钛或钽金属层,通过周期性的金属层CVD和等离子体进行逐层向上沉积每个金属层。
有利的是,本发明提供了用于制造具有大致无应力或少应力的高密度金属硬掩模的方法。这些方法由于无需传统的后沉积退火工艺而得到简化,从而提高了热预算,同时保持了器件性能并且确保了高-k完整性。
附图说明
从以下详细的描述和附图中可以更好地理解本发明的各个方面。应该强调的是,根据工业中的标准实践,各种部件没有被按比例绘制并且仅以说明为目的。实际上,为了清楚地讨论,各种部件的尺寸可以被任意增加或减少。
图1是根据本发明的实施例示出的一种制造金属硬掩模的方法的流程图。
图2是根据本发明的实施例示出的另一种制造金属硬掩模的方法的流程图。
图3A、图3B、和图3C是分别由物理汽相沉积(PVD)、无周期性等离子体处理的化学汽相沉积(CVD)、和有周期性等离子体处理的CVD形成的晶粒层的描述性示例图。
图4A和4B分别是示例性膜应力相对于等离子体处理时间和等离子功率的曲线图。
图5示出的是根据本发明的实施例形成的层的示例性膜密度的曲线图。
图6是根据本发明的实施例示出的一种制造金属硬掩模的系统的示意图。
具体实施方式
据了解为了实施本发明的不同部件,以下公开提供了许多不同的实施例或示例。以下所描述的元件和设置的特定示例用以简化本公开。当然,这些仅仅是示例并不用于限定。再者,以下描述中的第一部件形成在第二部件上可以包括第一和第二部件以直接接触形成的实施例,也可以包括形成额外的部件以插入到第一和第二部件中,从而使第一和第二部件不直接接触的实施例。为了简单和清楚,可以任意地以不同的尺寸绘制各种部件。另外,为清楚起见,将一些附图进行了简化。因此,附图可能并不会描绘出给定装置(例如,器件)或方法的所有组件。
附图示意性地描述了本发明的理想配置,本文将参考附图介绍本发明的各个方面。正因为如此,图示的形状导致的变化是可预计的,例如,制造技术和/或公差。因此,整个公开所展示的本发明的各个方面不应该被理解为仅限于此处所示和所述的元件(例如,区域、层、截面、衬底等)的特定形状,而应该包括例如制造导致的形状的偏差。通过示例的方式,所示或所述的矩形元件可以具有圆形或弯曲的部件和/或其边缘具有梯度深度(gradientconcentration),而不是从一个元件到另一个元件的不连续的变化。因此,图中所示的元件仅是示意性的,它们的形状并不用于说明元件的精确形状,也不用于限制本发明的范围。
应当理解,当一个元件例如区域、层、截面、衬底等,被称为在另一个元件“上”,它可以直接在其他元件上或通过干预元件在其他元件上。相反,当一个元件被称为“直接”在另一个元件上时,不存在干预元件。进一步理解为,当一个元件被称为“形成”在另一个元件上时,它可以以生长、沉积、蚀刻、接触、连接、接合的方式或者准备或制造的方式在其他元件上或干预元件上。
除非另有定义,此处使用的所有术语(包括技术术语和科学术语)具有与本领域的技术人员所公知的相同的含义。可以将这些术语,例如,常用的字典定义,进一步理解为,具有与相关的技术和本发明内容相统一的意义。
本文所使用的单数形式“一个”、“这个”、“该”也包括的其复数形式,除非对该内容另有清楚的指示。进一步地,应该理解术语“包括”和/或“包含”在本说明书中使用时,简述了所述部件、整体、步骤、操作、元件、和/或组件的存在,但不排除一个或更多其他部件、整体、步骤、操作、元件、组件、和/或其组合的存在或增加。术语“和/或”包含任一个或多个与所列出的术语相关的任何和所有组合。
应该理解,虽然在此处可以使用术语“第一”、“第二”和“第三”等描述各个工艺参数或元件,例如,RF功率、抽头设置、前体、电极等,但是这些工艺参数或元件不受这些术语的限制。这些术语只用来区别一个工艺参数或元件和其它工艺参数或元件。因此,不背离本公开的启示的情况下,可以将以下所讨论的第一RF功率、气体、抽头设置、前体或电极称为第二RF功率、气体、抽头设置、前体或电极。
应该理解,只对几个处理步骤和/或器件的部件作简要介绍,是因为些步骤和/或部件对本领域的技术人员来说是公知的。此外,在实施权利要求时,可以增加额外的处理步骤或部件,当然也可以删除和/或改变以下特定的处理步骤或部件。因此,以下描述应该被理解为是具有代表性的示例,而不是用于指出某一个或多个步骤或部件是必须的。
参考图1和2所示的流程图,其根据本发明的实施例分别示出了用于制造金属硬掩模的方法100和方法200。
方法100包括,框102中的将至少一种金属反应气体流入被配置为进行化学汽相沉积(CVD)的反应室中,其中,该至少一种金属反应气体包括金属卤素气体或金属有机气体。方法100进一步包括,框104中的使用至少一种金属反应气体通过CVD沉积金属硬掩模层。根据一个方面,该方法可以进一步包括,利用对沉积的金属层进行的等离子体处理来调节沉积的硬掩模金属层的应力和/或密度。等离子体处理可以包括,停止至少一种金属反应气体的流入,以及使用由至少一种载气形成的等离子体轰击沉积的硬掩模金属层。
方法200包括,框202中的将至少一种金属反应气体和至少一种载气流入被配置为进行化学汽相沉积(CVD)的反应室中,其中,该至少一种金属反应气体包括金属卤素气体或金属有机气体。方法200进一步包括,框204中的使用至少一种金属反应气体通过CVD沉积金属硬掩模层,以及框206中的利用沉积的硬掩模金属层上的等离子体处理来调节沉积的硬掩模金属层的应力和/或密度。等离子体处理包括,停止至少一种金属反应气体的流入,以及使用由至少一种载气形成的等离子体轰击沉积的金属层。方法200进一步包括,框210中的确定金属层或金属硬掩模的总厚度是否已达到预期。如果尚未(“并未”)达到所预期的总厚度,则如框204和框206所示,重复实施多个金属层CVD和等离子体处理的循环,以形成多个金属层,每个金属层向上逐层沉积。如果已达到(“是”)所预期的总厚度,则方法200结束。
上述方法100和方法200中的各个步骤可以通过各种技术、手段、装置、和/或系统实施。应注意,在本公开的各个方面的范围内可以对方法100或方法200的操作进行重新排序或修改。应当进一步指出的是,在方法100或方法200的操作过程之前、期间、或之后可以提供额外的工艺,对于一些其他工艺,本文只作简要介绍。因此,在本文所述的各个方面的范围内可以进行其他实施。
根据本发明的一个实施例,反应室可以包括被配置为支撑衬底(例如,电介质)的第一电极,以及设置在第一电极和衬底的上方的第二电极。可以将射频(RF)功率应用于第一和第二电极之间,以将金属层沉积到衬底上。在终止操作过程中,可以将另一个RF功率应用于第一和第二电极之间,并且在一个示例中,第二RF功率可以小于第一RF功率。换句话说,随着至少一种反应气体和载气或稀释气体流入沉积室,以及将第一(主要沉积)功率应用为与反应室的电极功率持平,则可以出现沉积操作。在沉积操作之后进行的终止操作或等离子体处理操作过程中,可以将第二功率应用于电极之间的反应室。
根据本发明的一个方面,沉积的硬掩模金属层可以包括钛层、氮化钛层、钽层、或氮化钽层。根据另一个方面,硬掩模金属层可以通过等离子体增强化学汽相沉积(PECVD)或有机金属CVD(MOCVD)进行沉积。
根据本发明的另一个方面,至少一种金属反应气体选自卤素钛气体(例如,TiCl4)、有机钛气体(例如,四-(二甲基氨基(dimethylamido))钛(TDMAT)、四-(乙酰氨基(diethylamido))钛(TDEAT))、钽卤素气体、钽有机气体、及其组合组成的组。根据本发明的另一个方面,方法100和方法200可以各进一步包括将第二反应气体流入反应室中,其中,第二反应气体选自氮、氨、及其组合组成的组。根据本发明的又一个方面,载气选自氦气、氩气、氢气及其组合组成的组。在一个示例中,为形成TiN膜,将含Ti气体和含N气体用作前体气体,将惰性气体用作载气,以及在沉积操作过程中,应用在电极之间的功率介于大约0瓦到大约200瓦之间,时间为大约0秒到大约20秒之间。工艺温度可以在大约350摄氏度到大约450摄氏度之间,工艺压力可以在大约1托到大约50托之间。
在一个PECVD工艺的实施例中,当将RF功率应用到反应室中两个电极之间时,形成辉光(glow)放电(即,等离子体)。反应室中的反应气体产生了化学反应的种类(例如,原子、离子、自由基)。这些活性种类扩散并被吸附在衬底材料的表面上。可以将RF功率应用于上部和下部的电极。根据本发明的另一个方面,可以将RF功率应用于上部电极,而下部电极则可以保持在接地电位。可以调整阻抗匹配单元,以最大限度地提高等离子体所吸收的RF功率。在本文件中,阻抗匹配单元的设置被称为抽头设置并且与应用于上部和下部电极之间且被等离子体吸收的最大RF功率相对应。
根据本发明的一个方面,等离子体处理可以包括,停止至少一种金属反应气体流入反应室,以及使用由氮气、氨气、氩气、氦气、氢气、或其组合形成的等离子体轰击沉积的硬掩模金属层,以调节沉积的金属层的应力和/或密度。等离子体处理可以增加沉积的膜的密度,以及在某些含Cl前体气体的情况下,使用等离子体处理可以去除所含Cl。在一个示例中,在等离子体处理操作过程中,应用于电极之间的功率可以介于大约0瓦到大约200瓦,时间为大约0秒到大约20秒。工艺温度可以在大约350摄氏度到大约450摄氏度之间,工艺压力可以在大约1托到大约50托之间。
根据一个示例,而并不用于限制,沉积的硬掩模金属层的应力被调整为在大约1E9达因/cm2到-1E9达因/cm2之间,金属层的密度被调整为大于大约4g/cm3。在另一个示例中,硬掩模金属层的密度可以被调整为大于大约4.5g/cm3。在又一个示例中,硬掩模金属层的密度可以被调整为大于大约4.8gm/cm3
根据本发明的一个方面,方法100可以进一步包括实施多个周期的硬掩模金属层CVD和等离子体处理,以形成多个硬掩模金属层,每个硬掩模金属层向上逐层沉积。对于方法100或方法200中的通过多个周期的沉积和等离子体处理形成多个硬掩模金属层的情况,在一个示例中,可以在每个或一些周期中使用不同的沉积和等离子体处理参数,或者在另一个示例中,可以在每个周期中使用固定的沉积和等离子体处理参数。在一个示例中,金属硬掩模的总厚度可以有所不同,沉积和等离子体处理周期(即,一个周期包括:沉积操作和等离子体处理操作)可以在大约3个周期到大约20个周期之间。
根据本发明的另一个方面,可以改变应用于第一和第二电极之间的RF功率。可以通过各种工艺改变RF功率,例如,通过停止至少一种反应气体流入反应室中、停止至少一种载气流入反应室中、改变室的压力、应用不同的功率到第一电极和/或第二电极、改变对与第二电极相接合的阻抗匹配单元的抽头设置、及其组合。
在一个示例中,可以被动地改变RF功率,例如,通过停止至少一种反应气体流入反应室、停止至少一种载气流入反应室、改变室的压力、或其组合来进行。在另一个示例中,可以主动地改变RF功率,例如,通过应用不同的功率到第一电极和/或第二电极、改变对与第二电极相接合的阻抗匹配单元的抽头设置、或其组合。在其他示例中,在沉积操作过程中,可以在反应室的电极之间提供第一室压力、第一室温度、和第一最大应用RF功率。在终止操作或等离子体处理操作过程中,可以提供第二室压力、第二室温度、和第二最大应用RF功率。
根据本发明的另一个方面,等离子体的形成可以通过将低频RF(LFRF)功率应用到第一电极、应用高频RF(HFRF)功率到第二电极、以及在第一和第二电极之间形成等离子体来实现。
根据本发明的其他方面,在第一和第二电极之间应用RF功率之前,在主沉积操作、终止操作、或等离子体处理操作过程中,可以提供在大约1托到大约50托之间的室压力,以及在主沉积操作、终止操作、或等离子体处理操作过程中,可以提供在大约350摄氏度到大约450摄氏度之间的室温度。
优选地,本发明提供了一种制造基本无应力或少应力的高密度金属硬掩模的方法,例如,其密度大于大约4g/cm3。这些方法由于无需传统的后沉积退火工艺而得到简化,从而提高了热预算,同时保持了器件性能并确保了高-k完整性。
参考图3A、3B和3C,示例性的晶粒结构示出了通过物理汽相沉积(PVD)、无循环等离子体处理的化学汽相沉积(CVD)、和有循环等离子体处理的CVD形成的层310、320、和330。
图3A示出的TiN层310具有通过PVD沉积的柱状晶粒312,以及矩阵中的高静压应变场。不利的是,PVD处理要求高功率并且引起位移,而柱状晶粒不能有效地释放应变/应力。图3B示出的TiN层320具有通过CVD形成的等轴晶粒322。优选地,CVD处理提供了可以有效地释放应变/应力的等轴晶粒。图3C示出的TiN层330具有通过有循环等离子体处理的CVD沉积的等轴晶粒332。有利的是,由循环沉积和等离子体处理形成的等轴晶粒332的大小大于未经等离子体处理而形成的等轴晶粒322的大小,因此可以将其提供到无应力或少应力的高密度层上。
参考图4A和4B,曲线图410和420分别是根据本发明的示例性的实施例示出的示例性膜应力相对于等离子体处理时间和等离子体功率的关系。曲线图410所示的x轴上是以秒计算的氮化(即,氮处理等离子体)时间,y轴上是以达因/cm2计算的膜应力。在一个示例中,所示的膜应力在大约1E9达因/cm2到大约-1E9达因/cm2之间,在另一个示例中,所示的膜应力在大约0.8E9达因/cm2到大约-0.8E9达因/cm2之间,随着氮化时间增加,膜应力从正到负移动。曲线图420所示的x轴上是以瓦计算的氮化RF功率,y轴上是以达因/cm2计算的膜应力。在一个示例中,所示的膜应力在大约1E9达因/cm2到大约-1E9达因/cm2之间,在另一个示例中,所示的膜应力在大约0.5E9达因/cm2到大约-0.6E9达因/cm2之间,随着氮化RF功率增加,膜应力从正到负移动。因此,可以将膜应力调整到基于等离子体处理时间、所应用的RF功率、和/或等离子体循环数量的水平。
图5是根据本发明的示例性实施例示出的层的示例性膜密度的曲线图500。在一个示例中,所示的TiN层的膜密度大于大约4gm/cm3,而在另一个示例中,大于大约4.8gm/cm3。可以将膜密度调整到基于会对沉积层的晶粒大小和微观结构造成影响的等离子体处理时间、所应用的RF功率、和/或等离子体循环数量的水平。
参照图6,根据本发明的实施例示出了一种制造金属硬掩模的系统600。系统600包括反应室610(例如,CVD室),其具有被配置为支撑衬底614的第一电极612和设置在第一电极612和衬底614的上方的第二电极616。系统600进一步包括至少一种反应气体源620和至少一个载气源630,其每个可操作用于与反应室610相接合,从而将至少一种反应气体和至少一种载气分别流入反应室610。系统600进一步包括与第二电极616相接合的阻抗匹配单元650,以在第一电极612和第二电极616之间提供射频(RF)功率。可以将抽头设置应用于阻抗匹配单元650,以控制应用于第一电极612和第二电极616之间的RF功率。在沉积操作过程中,可以将第一抽头设置应用于与第一最大RF功率相对应的阻抗匹配的单元,以及在终止操作或等离子体处理操作过程中,可以将第二抽头设置应用于与第二最大RF功率相对应的阻抗匹配的单元。将第一RF功率或电压源660接合到第一电极612,第二RF功率或电压源670接合到阻抗匹配单元650。将泵和排气馈线680连接到室610,以在PECVD操作过程中提供抽气效应(pumping)从而在其中建立局部真空的条件,以及在完成晶圆加工操作之后提供气体排出线,用于将气体排出系统。在一个示例中,可以将沉积和/或等离子体处理过程中的工艺压力设定为在大约1托到大约50托之间。室610也可以包括加热元件,从而根据需要控制室内温度。在一个示例中,用于沉积和/或等离子体处理的工艺温度可以是介于大约350摄氏度到大约450摄氏度之间。
在一个示例中,室610是一个适于实现上述工艺或方法100和/或方法200的PECVD反应室。例如,可以使用加利福尼亚州的NovellusCorporationofSanJose公司出售的商品名为CONCEPTI的PECVD反应室。也可以使用其他的室进行任何上述方法。
根据一个方面,第一电极612与第二电极616相对设置,两者之间有一个间隙,以及衬底614设置在第一电极612和第二电极616之间。根据另一个方面,第一电极612可以作为阳极,并对其提供来自第一RF功率源660的低频RF(LFRF),第二电极616可以作为阴极,并对其提供来自第二RF功率源670的高频RF(HFRF)。在一个实施例中,第二电极616可以包括被可操作地定位成与第一电极相邻并被配置为从供气源(例如,反应气源620、载气源630)提供气态反应物到室610中的莲蓬头(showerhead)电极。在其它实施例中,气态反应物可以通过与第二电极分离的进口或通过其他方式进入室610。
第一电极612被配置为支撑至少一个衬底,例如,半导体晶圆形式或电介质衬底形式的衬底。在本文中所使用的术语“支撑”和与第一电极相连接用于表示在所需的方向上保持或放置一个或多个衬底,从而发生化学汽相沉积。因此,除了所示的水平位置以外,衬底可以在其他方向上被支撑、保持或放置。此外,虽然示出了平行板PECVD反应室,也可以使用其他适用于实施本发明的方法的RF功率系统,例如,美国专利号6,705,246所描述的感应线圈PECVD反应室,该公开文本通过引证结合在此。此外,虽然图中所示的室610具有两个电极,然而应该理解,该系统可以使用的反应室和方法并不仅限于两个电极。此外,虽然在图中示出的是对单一的衬底进行处理,但是在其他实施例中,可以将反应室配置为对多个衬底进行处理。
根据一个方面,衬底614在不同的制造阶段可以包括各种半导体器件、和/或其他合适的有源和/或无源器件。示例性的半导体器件包括集成电路,其具有包括互补MOSFET(CMOS)部件、CIS、和/或其他合适的有源和/或无源器件的金属-绝缘体-半导体场效应晶体管(MOSFET)。在一个实施例中,衬底可以包括使用基于CMOS工艺设计和形成的集成电路(或其部分)。然而,具有由其他半导体制造技术形成的器件(例如,集成电路)的衬底也在所描述的方法的范围内。
在一个实施例中,衬底614可以包括半导体衬底,也可以包括硅,或者可选地包括硅锗、镓砷、或其他合适的半导体材料。半导体衬底可以包括底层、器件、接合点、和其他在之前的工艺步骤或在之后的工艺步骤中形成的部件(未显示)。
根据一个方面,每个反应气源620和载气源630可以包括多个存储器(reservoir),并且可以通过馈线622、632、和常见的气体馈线642与室640相接合。可以将分别来自反应气源620和稀释气源630的至少一种反应气体和至少一种载气提供到预混合室640中,从而在流入反应室610之前将其预混合成基本均匀的混合气体。在一个示例中,预混合室640被设置为直接与室610相邻。
反应气体源620可以包括传统起泡室、加热线圈、关闭阀门、和/或输出端口。在一个示例中,反应气源620可以提供各种反应气体,这取决于所需要沉积的金属层,例如,钛层、氮化钛层、钽层、或氮化钽层。在一个示例中,反应气体可以包括但不仅限于卤素钛气体(例如,TiCl4)、有机钛气体(例如,四-(二甲基氨基)钛(TDMAT)、四-(乙酰氨基)钛(TDEAT))、卤素钽气体、有机钽气体、及其组合组成的组。在另一个例子中,含氮的气体(例如,含有氮气或氨气)可以被包含作为反映气体。虽然图中示出的是单一的反应气源620,但是,系统600可以根据需要包括多个反应气源,并且以与反应气源620相似的方式与反应室610相接合。
载气源630可以包括加热器线圈、关闭阀门、和/或输出端口,并且可以提供各种载体气体,包括但不限于氦气、氩气、和氢气。
虽然无需示出,但是反应气体和载气可以通过各种装置(例如,流线、压力调节器、阀门、质量流量控制器、或其他流量控制器、歧管、和/或调节器)从反应气源620和载气/稀释气源630流入反应室610中。在一个示例中,质量流量控制器可以是电子式的,其中,可以建立变量设定点,以提供恒定的选择气体流速,从而自动补偿外部参数的变化,例如,该系统的各个流线中的温度的变化和压力的变化。歧管(manifold)可以用于提供各种组合气体的预混合。
可以提供具有关闭阀门的馈线622和632,使其可以在任何时间启动和关闭系统操作。在反应气源620和/或载气源630中也可以包括关闭阀门。
系统600可以进一步包括吹扫(purge)气源,其可操作地接合到室610以在沉积开始之前将吹扫气体流入室610中,也可用于冲洗系统。吹扫气源可以提供各种吹扫气体,包括但不仅限于氮气或氩气。
在一个示例性操作中,衬底614可以是设置在阳极的上表面上的硅晶圆或电介质衬底,并且硅晶圆可能已经朝着完成最终的或完整的集成电路被处理至各个阶段。例如,可能已经处理至将导电互连条沉积和互连到晶圆的表面上,晶圆的上方已经准备好容纳氧化硅或氮化硅或两者的保护电介质涂层的阶段。
在一个实施例中,可以改变从低频RF源660和高频RF源670接收的功率比,以改变电介质层从高拉伸状态到高压缩状态的应力。在一个示例中,高频RF源670可以在13.56兆赫操作,并且低频RF源660可以在10-400千赫之间操作。例如,应用于PECVD反应室的总RF功率介于大约0瓦到大约200瓦之间。虽然示出了两个RF功率源660和670,但是在另一实施例中,可以使用单一的RF功率源对每个电极612和616施加RF功率。美国专利号6705246的文本中示出并且描述了RF功率分配器和单一的RF功率源的示例,之前已经将其公开文本通过引证结合在此。
如图所示,高频RF信号源670通过阻抗匹配单元650连接用以阻抗匹配,其可以基本上阻止高频RF功率被反射回RF源670,以及使由等离子体吸收RF功率的量最大化。阻抗匹配单元650包括被配置为阻抗匹配和将应用于反应室610的第一电极612和第二电极616之间的功率最大化的各种电容和电感元件。
可以将抽头设置应用于与第二电极相接合的阻抗匹配单元,以在第一和第二电极之间提供最大射频(RF)功率。与应用最大射频功率相对应的抽头设置可以取决于各种工艺参数。
RF源660和670被配置为向电极提供RF功率,其有利于在处理室中实现等离子体处理环境,以及提供与衬底相关的所需偏置。例如,电极之间的功率差可以有利于离子或离子种类向主体衬底加速,其可以增强共形覆盖面和/或使膜或层的组成更均匀。
本领域的技术人员通过以可控的方式修改反应气源以沉积除了以上具体描述的材料之外的材料也在本发明和所附权利要求的范围内。此外,对于至少一些上述工艺,也可以在室温下操作反应气源和载气源,从而如前面所述,消除加热元件。也就是,上述设置在气体源和反应室610之间的各种蒸汽和气体输送管线可以相对较短,从而使系统的输送管线在室温下获得足够的汽相流率(vaporflowrate)。
在所有的上述示例中,选择在大约300摄氏度到500摄氏度之间的宽泛的温度范围内操作反应室610,取决于较高的温度是否会不适当地削弱对半导体结构的处理。在一个示例中,工艺温度在大约350摄氏度到大约450度摄氏之间的范围内。因此,在以下所附的权利要求的范围内清楚地描述了这些以及其他工艺和材料的改变。
因此,本公开提供了各种实施例。根据一个实施例,一种用于制造金属硬掩模的方法包括,将至少一种金属反应气体流入被配置为进行化学汽相沉积(CVD)的反应室中,其中,该至少一种金属反应气体包括,金属卤素气体或金属有机气体。该方法进一步包括,使用至少一种金属反应气体通过CVD沉积金属硬掩模层。根据一个方面,该方法可以进一步包括,调节沉积的硬掩模金属层的应力和/或密度与沉积的硬掩模金属层上的等离子体处理。等离子体处理可以包括,停止流入至少一种金属反应气体,以及使用由至少一种载气形成的等离子体轰击沉积的硬掩模TiN层。
在另一个实施例中,一种用于制造金属硬掩模的方法包括,将至少一种金属反应气体和至少一种载气流入被配置为进行化学汽相沉积(CVD)的反应室,其中,该至少一种金属反应气体包括,卤素钛气体或有机钛气体。该方法进一步包括,使用至少一种金属反应气体和至少一种载气通过CVD沉积硬掩模氮化钛层。根据一个方面,该方法可以进一步包括,调节沉积的硬掩模TiN层的应力和/或密度与沉积的硬掩模TiN层上的等离子体处理,以及实施多个硬掩模TiN层CVD和等离子体处理的周期,以形成多个硬掩模TiN层,每个硬掩模TiN层逐层向上沉积。
在其他实施例中,金属硬掩模包括使用具有金属卤素气体或金属有机气体的至少一种金属反应气体通过化学汽相沉积(CVD)沉积的钛硬掩模层金属层或钽硬掩模金属层,其中,钛硬掩模金属层是使用钛金属卤素前体或有机钛前体通过等离子体增强化CVD(PECVD)或有机金属CVD(MOCVD)沉积的,以及其中,钽硬掩模金属层是使用钽卤前体或钽有机前体通过PECVD或MOCVD沉积的。
优选地,本发明提供了用于制造金属硬掩模方法和系统,以及由该方法和系统形成的大致无应力或少应力的高密度硬掩模,例如,大于大约4g/cm3。这些方法由于无需传统的后沉积退火工艺而得到简化,从而提高了热预算,同时保持了器件性能并且确保了高-k完整性。因此,可以不需要后沉积退火操作制造硬掩模。
尽管本已经详细地描述了发明的实施例,但是本领域的技术人员应该理解,可以在不背离所附权利要求限定的本发明主旨和范围的情况下,可以做出各种不同的改变、替换和更改。因此,所附权利要求应该包括本申请范围内的这种不同的改变、替换和更改。在权利要求中,手段加功能的条款用于概括此处所述的结构,从而实施所述功能,并且不仅结构等同,也等同于结构。

Claims (19)

1.一种制造金属硬掩模的方法,所述方法包括:
将至少一种金属反应气体流入被配置为进行化学汽相沉积(CVD)的反应室中,其中,所述至少一种金属反应气体选自由卤素钛气体、有机钛气体、钽卤素气体、以及钽有机气体组成的组;
在所述反应室中的第一电极和第二电极之间应用第一射频(RF)功率以使用所述至少一种金属反应气体通过CVD沉积硬掩模金属层,其中,第一射频功率源连接至所述第一电极且第二射频功率源连接至阻抗匹配单元,所述阻抗匹配单元连接至所述第二电极;以及
在所述反应室中的所述第一电极和所述第二电极之间应用第二射频(RF)功率以使用等离子体处理所述硬掩模金属层,所述第二射频功率不同于所述第一射频功率。
2.根据权利要求1所述的方法,其中,沉积所述硬掩模金属层包括,通过等离子体增强型CVD(PECVD)或金属有机CVD(MOCVD)沉积钛层、氮化钛层、钽层、或氮化钽层。
3.根据权利要求1所述的方法,进一步包括,利用对所沉积的硬掩模金属层进行的等离子体处理来调节所沉积的硬掩模金属层的应力和/或密度。
4.根据权利要求3所述的方法,其中,所述硬掩模金属层的应力被调节为介于1E9达因/cm2到-1E9达因/cm2之间,以及所述硬掩模金属层的密度被调节为大于4g/cm3
5.根据权利要求3所述的方法,其中,所述等离子体处理包括,停止所述至少一种金属反应气体流入所述反应室,以及使用由氮气、氨气、氩气、氦气、氢气、或其组合形成的等离子体轰击所沉积的硬掩模金属层。
6.根据权利要求3所述的方法,进一步包括,进行多次循环的硬掩模金属层CVD和等离子体处理,以形成多个硬掩模金属层,向上逐层沉积每个硬掩模金属层。
7.根据权利要求1所述的方法,进一步包括,将第二反应气体流入所述反应室中,其中,所述第二反应气体选自由氮气和氨气组成的组。
8.根据权利要求1所述的方法,进一步包括,将载气流入所述反应室中,其中,所述载气选自由氦气、氩气、和氢气组成的组。
9.一种制造氮化钛(TiN)硬掩模的方法,所述方法包括:
将至少一种金属反应气体和至少一种载气流入被配置为进行化学汽相沉积(CVD)的反应室中,其中,所述至少一种金属反应气体选自由卤素钛气体、有机钛气体、钽卤素气体、以及钽有机气体组成的组;以及
在所述反应室中的第一电极和第二电极之间应用射频(RF)功率以使用所述至少一种金属反应气体和所述至少一种载气通过CVD沉积硬掩模TiN层,其中,第一射频功率源连接至所述第一电极且第二射频功率源连接至阻抗匹配单元,所述阻抗匹配单元连接至所述第二电极;以及
改变所述反应室中的所述第一电极和所述第二电极之间应用的射频(RF)功率以使用等离子体处理所述硬掩模TiN层。
10.根据权利要求9所述的方法,其中将所述载气流入所述反应室包括流入选自由氦气、氩气、和氢气组成的组中的载气。
11.根据权利要求9所述的方法,其中,沉积所述硬掩模TiN层包括,通过等离子体增强型CVD(PECVD)或有机金属CVD(MOCVD)沉积氮化钛层。
12.根据权利要求9所述的方法,进一步包括:
利用对所沉积的硬掩模TiN层进行的等离子体处理来调节所沉积的硬掩模TiN层的应力和/或密度,其中,所述等离子体处理包括,停止所述至少一种金属反应气体的流入,以及,使用由所述至少一种载气形成的等离子体轰击所沉积的硬掩模TiN层;以及
进行多次循环的硬掩模TiN层CVD和等离子体处理,以形成多个硬掩模TiN层,向上逐层沉积每个硬掩模TiN层。
13.根据权利要求12所述的方法,其中,所述硬掩模TiN层的应力被调节为介于1E9达因/cm2到-1E9达因/cm2之间,以及所述硬掩模TiN层的密度被调节为大于4g/cm3
14.根据权利要求12所述的方法,其中,等离子体处理的每次循环均包括以在0瓦到200瓦之间RF功率,使用由氮气、氨气、氩气、氦气、氢气、或其组合形成的等离子体对所沉积的硬掩模TiN层轰击0秒到20秒之间的时间。
15.根据权利要求9所述的方法,进一步包括,将第二反应气体流入所述反应室中,其中,所述第二反应气体选自由氮气和氨气组成的组。
16.一种根据权利要求1-15中任一项所述的方法形成的金属硬掩模,包括:
钛硬掩模金属层或钽硬掩模金属层,由包括金属氯化物气体或金属碳化物气体的至少一种金属反应气体通过化学汽相沉积(CVD)沉积而成,
其中,所述钛硬掩模金属层是使用卤素钛前体或有机钛前体通过等离子体增强型CVD(PECVD)或有机金属CVD(MOCVD)沉积而成,以及
其中,所述钽硬掩模金属层是使用卤素钽前体或有机钽前体通过PECVD或MOCVD沉积而成。
17.根据权利要求16所述的硬掩模,其中,所述钛硬掩模金属层或钽硬掩模金属层分别由氮化钛和氮化钽组成,密度为大于4g/cm3,应力介于1E9达因/cm2到-1E9达因/cm2之间。
18.根据权利要求16所述的硬掩模,其中,通过对所述硬掩模金属层进行等离子体处理来调节所述硬掩模金属层的应力和/或密度,所述等离子体处理包括使用由氢气、氮气、氨气、或其组合形成的等离子体进行轰击。
19.根据权利要求16所述的硬掩模,进一步包括多个钛或钽金属层,通过周期性的金属层CVD和等离子体进行逐层向上沉积每个金属层。
CN201210175123.XA 2012-01-05 2012-05-30 金属硬掩模的制造 Active CN103199007B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US13/343,857 2012-01-05
US13/343,857 US8623468B2 (en) 2012-01-05 2012-01-05 Methods of fabricating metal hard masks

Publications (2)

Publication Number Publication Date
CN103199007A CN103199007A (zh) 2013-07-10
CN103199007B true CN103199007B (zh) 2016-02-24

Family

ID=48652683

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201210175123.XA Active CN103199007B (zh) 2012-01-05 2012-05-30 金属硬掩模的制造

Country Status (6)

Country Link
US (1) US8623468B2 (zh)
KR (1) KR101379089B1 (zh)
CN (1) CN103199007B (zh)
DE (1) DE102012206598B4 (zh)
SG (1) SG192315A1 (zh)
TW (1) TWI439562B (zh)

Families Citing this family (35)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9106606B1 (en) 2007-02-05 2015-08-11 F5 Networks, Inc. Method, intermediate device and computer program code for maintaining persistency
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
CN103413781B (zh) * 2013-08-27 2016-03-02 上海华力微电子有限公司 一种金属硬掩膜层及铜互连结构的制备方法
CN103426819A (zh) * 2013-08-27 2013-12-04 上海华力微电子有限公司 一种金属硬掩膜层及铜互连结构的制备方法
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
KR20180045047A (ko) * 2015-09-19 2018-05-03 어플라이드 머티어리얼스, 인코포레이티드 티타늄-화합물 계 하드 마스크 막들
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US20190259618A1 (en) * 2018-02-19 2019-08-22 Stmicroelectronics (Crolles 2) Sas Process for forming a layer of a work function metal for a mosfet gate having a uniaxial grain orientation
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11532698B2 (en) * 2019-09-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion barrier layer in top electrode to increase break down voltage
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11566324B2 (en) * 2020-02-27 2023-01-31 Applied Materials, Inc. Conditioning treatment for ALD productivity
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101030566A (zh) * 2006-03-01 2007-09-05 台湾积体电路制造股份有限公司 半导体结构及其形成方法
US7452811B2 (en) * 2001-07-19 2008-11-18 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6365495B2 (en) * 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
KR0164149B1 (ko) * 1995-03-28 1999-02-01 김주용 타이타늄 카보 나이트라이드층의 개질 방법
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
DE19929306A1 (de) * 1999-06-25 2001-04-05 Infineon Technologies Ag Verfahren zur Herstellung einer strukturierten Edelmetallschicht
US6692898B2 (en) * 2001-01-24 2004-02-17 Infineon Technologies Ag Self-aligned conductive line for cross-point magnetic memory integrated circuits
KR100460086B1 (ko) * 2001-07-16 2004-12-04 주식회사 다산 씨.앤드.아이 확산방지막내에 동종 금속의 중간 금속박막을 적용한반도체 소자의 제조방법
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6884476B2 (en) * 2002-10-28 2005-04-26 General Electric Company Ceramic masking material and application method for protecting turbine airfoil component surfaces during vapor phase aluminiding
JP4076889B2 (ja) * 2003-03-26 2008-04-16 Tdk株式会社 磁気記録媒体の製造方法
US7732307B2 (en) * 2004-06-14 2010-06-08 Aviza Technology Limited Method of forming amorphous TiN by thermal chemical vapor deposition (CVD)
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US8138082B2 (en) * 2006-02-28 2012-03-20 Stmicroelectronics (Crolles 2) Sas Method for forming metal interconnects in a dielectric material
US20070254476A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning porous low-k material in the formation of an interconnect structure
US20080207006A1 (en) * 2007-02-28 2008-08-28 James Scott Martin Process for fabricating an integrated circuit
KR101066897B1 (ko) * 2007-03-15 2011-09-27 후지쯔 세미컨덕터 가부시키가이샤 화학 기상 성장 장치, 막의 형성 방법 및 반도체 장치의 제조 방법
CN102197459A (zh) * 2008-10-27 2011-09-21 应用材料股份有限公司 三元化合物的气相沉积方法
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US20100190272A1 (en) * 2009-01-23 2010-07-29 United Microelectronics Corp. Rework method of metal hard mask
KR101211043B1 (ko) * 2010-04-05 2012-12-12 에스케이하이닉스 주식회사 매립게이트를 구비한 반도체 장치 제조방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7452811B2 (en) * 2001-07-19 2008-11-18 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
CN101030566A (zh) * 2006-03-01 2007-09-05 台湾积体电路制造股份有限公司 半导体结构及其形成方法

Also Published As

Publication number Publication date
TW201329275A (zh) 2013-07-16
KR20130080751A (ko) 2013-07-15
CN103199007A (zh) 2013-07-10
TWI439562B (zh) 2014-06-01
KR101379089B1 (ko) 2014-03-28
DE102012206598A1 (de) 2013-07-11
DE102012206598B4 (de) 2016-06-30
US8623468B2 (en) 2014-01-07
SG192315A1 (en) 2013-08-30
US20130174982A1 (en) 2013-07-11

Similar Documents

Publication Publication Date Title
CN103199007B (zh) 金属硬掩模的制造
US8383525B2 (en) Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
KR100892789B1 (ko) 성막 처리 방법
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US20050221000A1 (en) Method of forming a metal layer
TWI675124B (zh) 用以減少背側沉積及減輕基板邊緣的厚度改變之系統及方法
CN105714272B (zh) 用于提高膜均匀性的装置和方法
WO2010045595A2 (en) Method for improving process control and film conformality of pecvd films
WO2002043114A2 (en) Plasma enhanced pulsed layer deposition
KR20170124074A (ko) 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
CN106024605A (zh) 用于硬掩模的金属介电膜的沉积
US8916480B2 (en) Chemical vapor deposition film profile uniformity control
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
CN110468388B (zh) 原子层沉积法形成氮化物膜的方法
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
US20150284847A1 (en) Method of Forming an Epitaxial Layer and Apparatus for Processing a Substrate Used for the Method
KR102125474B1 (ko) 박막 증착 방법
US7300890B1 (en) Method and apparatus for forming conformal SiNx films
KR102489560B1 (ko) 박막 증착 장치 및 박막 증착 방법
TW202233877A (zh) 低k碳氮化硼薄膜
WO2024091543A1 (en) Selective molybdenum fill
KR20230170428A (ko) 기판 처리 방법
TW202340503A (zh) 特徵部中的大晶粒鎢生長
US20180305812A1 (en) Method for depositing high deposition rate, thick tetraethyl orthosilicate film with low compressive stress, high film stability and low shrinkage
CN113403606A (zh) 改善膜层沉积工艺中首片效应的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant