TW201329275A - 金屬硬罩幕、其製造方法及氮化鈦硬罩幕之製造方法 - Google Patents

金屬硬罩幕、其製造方法及氮化鈦硬罩幕之製造方法 Download PDF

Info

Publication number
TW201329275A
TW201329275A TW101119694A TW101119694A TW201329275A TW 201329275 A TW201329275 A TW 201329275A TW 101119694 A TW101119694 A TW 101119694A TW 101119694 A TW101119694 A TW 101119694A TW 201329275 A TW201329275 A TW 201329275A
Authority
TW
Taiwan
Prior art keywords
hard mask
metal
gas
titanium
mask layer
Prior art date
Application number
TW101119694A
Other languages
English (en)
Other versions
TWI439562B (zh
Inventor
Su-Horng Lin
Lin-Jung Wu
Chi-Ming Yang
Chin-Hsiang Lin
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW201329275A publication Critical patent/TW201329275A/zh
Application granted granted Critical
Publication of TWI439562B publication Critical patent/TWI439562B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/32051Deposition of metallic or metal-silicide layers

Abstract

本揭露提供一金屬硬罩幕之製造方法及由其所製造之金屬硬罩幕。此方法包含流入至少一金屬反應氣體進入用以進行化學氣相沉積之反應腔室中,其中此至少一金屬反應氣體包含金屬鹵化物氣體或金屬有機物氣體。此方法更包含以使用此至少一金屬反應氣體之化學氣相沉積作沉積,形成硬罩幕金屬層。

Description

金屬硬罩幕、其製造方法及氮化鈦硬罩幕之製造方法
本揭露係有關於金屬硬罩幕,且特別是有關於一種氮化鈦硬罩幕之製造方法及由其製造之氮化鈦硬罩幕。
硬罩幕係用以在半導體製程中轉移圖案至基材上,且當元件尺寸大幅微縮時特別適用。金屬硬罩幕可在縮小的幾何尺寸下提供理想的蝕刻輪廓及及控制關鍵尺寸。然而,硬罩幕所伴隨的高殘餘應力可能導致圖案扭曲,並需要額外的熱預算來釋放硬罩幕層中的應力。
本揭露實施例係提供一種金屬硬罩幕之製造方法,包含:流入至少一金屬反應氣體至一反應腔室中,用以進行化學氣相沉積,其中此至少一金屬反應氣體包含一金屬鹵化物氣體或一金屬有機物氣體;以及使用此至少一金屬反應氣體進行化學氣相沉積,沉積一金屬硬罩幕層。
本揭露實施例亦提供一種氮化鈦硬罩幕之製造方法,包括:流入至少一金屬反應氣體及至少一承載氣體至一反應腔室中,以進行化學氣相沉積,其中此至少一金屬反應氣體包含一鈦鹵化物氣體或一鈦有機物氣體;以及使用此至少一金屬反應氣體及此至少一承載氣體進行化學氣相沉積,沉積一氮化鈦硬罩幕層。
本揭露實施例更提供一種金屬硬罩幕,包括:一鈦金屬硬罩幕層或一鉭金屬硬罩幕層,其係由使用含金屬氯化物氣體或金屬碳化物氣體之化學氣相沉積沉積形成,其中 此鈦硬罩幕層係由使用鈦鹵化物前驅物或鈦有機物前驅物作為反應氣體之電漿增強式化學氣相沉積或有機金屬化學氣相沉積沉積形成,其中此鉭硬罩幕層係由使用鉭鹵化物前驅物或鉭有機物前驅物之電漿增強式化學氣相沉積或有機金屬化學氣相沉積沉積形成。
為讓本揭露之上述和其他目的、特徵、和優點能更明顯易懂,下文特舉出較佳實施例,並配合所附圖式,作詳細說明如下:
可理解的是,本揭露接下來將提供許多不同的實施例以實施本揭露的不同特徵。為簡化本揭露,將在以下敘述元件及設置的特定範例,然而這些僅為範例且並不意圖限定本揭露。例如,當敘述一第一元件形成於一第二元件上時,可包含第一元件與第二元件直接接觸之實施例,或也可包含該第一元件與第二元件之間更有其他額外元件,而使該第一元件與第二元件無直接接觸之實施例。為簡明起見,本揭露之各種特徵可任意繪製成不同尺寸。此外,應注意的是,為簡潔表示,相同或相似的特徵可具有相似的標號。此外,於本揭露各實施例中,可能會有重複的參考標號及/或符號。然而,這些重複的參考標號及/或符號僅是為了簡潔表示,不代表在各個實施例及/或圖示之間有何特定的關連。再者,某些圖式係已經過簡化。因此,這些圖式可能未描繪出一指定設備(例如裝置)或方法的所有元件。
在此本揭露將根據理想化設置之示意圖揭露的本揭露 之各種形式。如此,由這些用以舉例之示意圖中可預期到的是,由製造技術及/或可容許的誤差所造成的形狀變化。因此,本揭露揭露全篇的各種形式不應被理解成只限定於在此以圖解及敘述的元件之特定形狀(例如區域、膜層、線段、基板等),而應包括因例如製程所造成的形狀的偏差。舉例而言,圖解或敘述為一長方形元件時,可具有圓或有弧線的特徵及/或一在其邊角的梯度濃度,而非從一元件到另一元件的不連續改變。因此,在此圖式所示的元件本質上僅為示意用,其形狀並不意圖描繪一元件的正確形狀,且不意圖限定本揭露的範疇。
可理解的是,當一元件,例如一區域、膜層、部分、基板、或其類似物,被稱為在另一元件“上”,其可直接位於另一元件上或具有可其他元件存在介於其中。相反地,當一元件被稱為“直接在另一元件上”,並不會有介於其中的其他元件存在。也能理解的是,當一元件被稱為「形成」在另一元件上,該形成可為生長、沉積、蝕刻、連結(attached)、連接(connected)、耦接(coupled)、或以其它方式在其他元件或一中介元件上製備或製造。
再者,在此可使用相對的用語,例如“較低”或“底部”及“較高”或“頂部”,以描述圖式中某一元件對於另一元件的相對關係。可理解的是,相對用語的用意在於包括一個設備除了圖所示的方位之外,額外的不同的方位。舉例來說,如果將圖式中的設備翻轉使其上下顛倒,則所敘述在“較低”側的元件將會位在“較高”側的元件。因此,“較低”可以包括“較低”及“較高”的方向,其需視設備特定的方位 而定。類似地,如果將圖式中的設備翻轉使其上下顛倒,則敘述為“之下”(below)或“在下方”(beneath)的元件將會位在其他元件的“較高”側。因此“之下”或“在下方”可包括在上方及在下方的兩種方向。
除非另外定義,在此使用的全部用語(包括技術及科學用語)具有與此篇揭露所屬之本領域具有通常知識者所通常理解的相同涵義。可理解的是,這些用語,例如字典中定義的用語,應被解讀成在本揭露及相關技術所指的意義。
能理解的是,雖然可在此使用如“第一”及“第二”等用語來敘述各種製程參數及元件,例如第一射頻功率、分接頭設定(tap setting)、前驅物氣體、電極等。然而,這些製程參數及元件不應被這些用語限定。因此,接下來所討論的第一射頻功率、第一分接頭設定、第一前驅物氣體及第一電極,在不偏離本揭露教示的情況下,可被稱為第二射頻功率、第二分接頭設定、第二前驅物氣體及第二電極。
可理解的是,如裝置的製程步驟及/或元件為本領域具有通常知識者所熟知,在此將可能僅簡略敘述。另外,在申請專利範圍仍可實施的情況下,可加入額外的製程步驟及/或元件或移除及/或改變特定的步驟及/或元件。因此,可理解的是,以下敘述僅用以舉例,且不意圖暗示某些步驟及/或元件是必要的。
參見第1及2圖,其各自顯示依照本揭露實施例製造金屬硬罩幕之方法100及方法200之流程圖。
方法100包含如方塊102所示之步驟,流入至少一金屬反應氣體至用以進行化學氣相沉積之反應腔室中。此至 少一金屬反應氣體包含金屬鹵化物氣體(metal-halogen gas)或金屬有機物氣體(metal-organic gas)。方法100更包含如方塊104所示之步驟,使用此至少一金屬反應氣體進行化學氣相沉積,沉積金屬硬罩幕層。在一實施例中,此方法可更包含在沉積形成之金屬硬罩幕層上進行電漿處理,以調控沉積形成之金屬硬罩幕層之應力及/或密度。電漿處理可包含停止流入此至少一金屬反應氣體,並以由至少一承載氣體所形成之電漿對金屬硬罩幕層進行轟擊。
方法200包含如方塊202所示之步驟,流入至少一金屬反應氣體及至少一承載氣體進入用以進行化學氣相沉積之反應腔室中。此至少一金屬反應氣體包含金屬鹵化物氣體或金屬有機物氣體。方法200更包含如方塊204所示之步驟,使用此至少一金屬反應氣體進行化學氣相沉積,以沉積金屬硬罩幕層;並包含如方塊206所示之步驟,在沉積形成之金屬硬罩幕層上進行電漿處理,以調控沉積形成之金屬硬罩幕層之應力及/或密度。電漿處理包含停止此流入至少一金屬反應氣體,並以由至少一承載氣體所形成之電漿轟擊沉積形成之金屬硬罩幕層。方法200更包含如方塊210所示之步驟,判斷金屬層或金屬硬罩幕是否已達到所欲之總厚度。如果“未”達到所欲之厚度,將重複方塊204及206所示之步驟,進行多次化學氣相沉積及電漿處理的循環,以形成複數層金屬層。每一金屬層係沉積於另一已沉積形成之金屬層上。如“已”達到所欲之總厚度,則結束方法200。
在方法100及200中,可使用各種技術、工具、裝置 及/或系統來實現其步驟。值得注意的是,在本揭露之精神和範圍內,可重新排列或修改方法100或200之步驟。更需值得注意的是,在進行方法100或200之期間、之前或之後,可進行其用以支持基材(例如介電質)可能有其他在此未敘述之實施例。
依照本揭露之一實施例,反應腔室可包含第一電極及第二電極。第一電極可用以支撐基材(例如介電質),第二電極係設於第一電極及基材上。可在第一電極及第二電極之間施予射頻功率(radio frequency power,RF power),以沉積金屬層於基材上。在一實施例中,可在進行終止操作(terminal operation)之期間,施予在另一射頻功率(第二射頻功率)至第一電極及第二電極之間。在一實施例中,第二射頻功率可小於第一射頻功率。易言之,沉積係發生在流入至少一反應氣體及承載或稀釋氣體進入沉積腔室及施予第一功率等級(主要沉積)至反應腔室之電極間時。在進行沉積之後,接著在進行終止操作或電漿處理之期間施予第二射頻功率至反應腔室之電極間。
依照本揭露一實施例,沉積形成之金屬硬罩幕層可包含鈦層、氮化鈦層、鉭層或氮化鉭層。依照本揭露之另一實施例,金屬硬罩幕層可由電漿增強式化學氣相沉積(plasma enhanced CVD,PECVD)或有機金屬化學氣相沉積(metal-organic MOCVD)沉積形成。
在一實施例中,此至少一金屬反應氣體係擇自鈦鹵化物氣體、鈦有機物氣體、鉭鹵化物氣體及鉭有機物氣體所組成之群組。鈦鹵化物氣體可例如為四氯化鈦(TiCl4)。鈦 有機物氣體可例如為四(二甲氨基)鈦(tetrakis-(dimethylamido)titanium,TDMAT)或四(二乙氨基)鈦(tetrakis-(diethylamido)titanium,TDEAT)。在另一實施例中,方法100及200皆可包含流入第二反應氣體至反應腔室中。此第二反應氣體係可擇自氮氣及氨氣所組成之群組。承載氣體係可擇自氦氣、氬氣及氫氣所組成之群組。在一實施例中,如欲形成氮化鈦膜層,可以含鈦氣體及含氮氣體作為前驅物氣體,以惰性氣體用於作為承載氣體,並在進行沉積施予約0 Watts及約200 Watts的功率至兩電極之間,持續約0秒至約20秒。製程溫度可為約350℃至450℃,製程壓力則可為約1 Torr至約50 Torr。
在電漿增強式化學氣相沉積之實施例中,在施予射頻功率至反應腔室之兩電極之間時,係會形成輝光放電(glow discharge)。反應腔室中之反應氣體會產生化學反應物質(例如原子、離子及自由基)。這些反應物質擴散至基材表面上並被基材表面所吸收。射頻功率可同時施予至上電極及下電極。在本揭露之一實施例中,可施予射頻功率至上電極,但下電極維持在接地電位。此外,可調整阻抗匹配單位(impedance matching unit),以將射頻功率被電漿吸收的量最大化。阻抗匹配單位之設定在本文件中係被稱為分接頭設定(tap setting),其係對應於施予至上電極及下電極之間的最大射頻功率及其可被電漿吸收的最大量。
依照本揭露之一實施例,電漿處理可包含停止流入至少一金屬反應氣體進入反應腔室中,並以由氮氣、氨氣、氬氣、氫氣或前述之組合所形成之電漿對沉積形成之金屬 硬罩幕層進行轟擊,因而可調控沉積金屬層之應力及/或密度。此沉積形成之膜層之密度可藉由電漿處理來增加。在某些實施例中,如使用含氯之前驅物氣體,可以電漿處理移除氯成分。在一實施例中,在電漿處理期間,施予至兩電極之間的功率可介於約0 Watts至約200 Watts,持續約0秒至約20秒。製程溫度可為約350℃至450℃,製程壓則可為約1 Torr至約50 Torr。
依照本揭露之一不意圖設限之實施例,沉積形成之金屬硬罩幕層之應力可調控在介於約1E9 dyne/cm2至約-1E9 dyne/cm2之間。金屬層之密度可調控至大於約4 g/cm3。在一實施例中,金屬層之密度可調控至大於約4.5 g/cm3。在另一實施例中,金屬層之密度可調控至大於約4.8 g/cm3
在本揭露之一實施例中,方法100可更包含進行多次金屬硬罩幕層化學氣相沉積及電漿處理之循環,以形成複數層金屬硬罩幕層。無論是方法100或200,在進行多次金屬硬罩幕層化學氣相沉積及電漿處理之循環之實施例中,於每一或某些循環係可使用不同的沉積及電漿處理參數,或於每一循環皆使用固定的沉積及電漿處理參數。金屬硬罩幕之總厚度可適當變化,且沉積及電漿處理之循環次數可為(例如一次循環包含進行一次沉積及一次電漿處理)約3次至約20次。
依照本揭露之另一實施例,可變換施予至第一電極及第二電極之間的射頻功率。射頻功率可依照各種製程作變換,例如藉由停止流入至少一反應氣體進入反應腔室中、 停止流入至少一承載氣體進入反應腔室中、改變腔室壓力、施予不同功率至第一電極及/或第二電極、改變連接至第二電極之阻抗匹配單位之分接頭設定或前述之組合。
在一實施例中,射頻功率可被動地藉由停止流入至少一反應氣體進入腔室中、停止流入至少一承載氣體進入反應腔室中、改變腔室溫度或前述之組合作改變。在另一實施例中,射頻功率可主動地藉由施予不同功率至第一電極及/或第二電極、改變連接至第二電極之阻抗匹配單位之分接頭設定或前述之組合作改變。在一實施例中,在進行沉積之期間,可於反應腔室之電極之間提供第一腔室壓力、第一腔室溫度及第一最大可施予之射頻功率。在進行終止操作或電漿處理之期間,可提供第二腔室壓力、第二腔室溫度及第二最大可施予之射頻功率。
依照本揭露之一實施例,可藉由施予低頻射頻功率至第一電極及施予高頻射頻功率至第二電極,以形成電漿於第一電極及第二電極之間。
依照本揭露之另一實施例,在施予射頻功率至第一電極及第二電極之前,可在進行主要沉積、終止操作或電漿處理之期間提供約1 Torr至約50 Torr之腔室壓力及約250℃至450℃之溫度。
較佳地,依照本揭露金屬硬罩幕製造方法所提供之金屬硬罩幕,可伴隨減少的應力或甚至實質上之無應力,且具有高密度,例如密度可為大於約4 g/cm3。此外,此方法不但簡便,且無需傳統之沉積後鍛燒之製程,因此可在維持裝置效能並確保高介電常數介電質完整之情況下,改善 熱預算。
請參見第3A、3B及3C圖,膜層310、320及330各自顯示為由物理氣相沉積、未經電漿處理之化學氣相沉積及經電漿處理之化學氣相沉積之晶粒結構之實施例。
第3A圖顯示以物理氣相沉積沉積形成之氮化鈦層310,其具有柱狀晶粒312,且在基質(matrix)中具有高的液靜壓應變場(high hydrostatic strain field)。對於物理氣相沉積來說,不利的是,物理氣相沉積需要高功率並會造成移位,且柱狀結晶無法有效釋放應變/應力。第3B圖顯示以化學氣相沉積沉積形成之氮化鈦層320,其具有等軸晶粒(equiaxed grains)322。對於化學氣相沉積製程來說,有利的是,可提供等軸晶粒,而這些等軸晶粒可有效地釋放應變/應力。第3C圖顯示經由化學氣相沉積及電漿處理之循環所形成之氮化鈦層330,其同樣具有等軸晶粒332。對於化學氣相沉積及電漿處理之循環製程來說,由此循環製程所形成之等軸晶粒332之尺寸大於未經電漿處理之等軸晶粒322。因此,可使膜層的應力較小或實質上無應力,且具有較大的密度。
請參見第4A及4B圖,圖表410及420各自顯示依照本揭露實施例之膜層應力對電漿處理時間及電漿功率之關係。在圖表410中,X軸係為氮化時間(即以氮氣作電漿處理,單位為秒),Y軸係為膜層應力(單位為dyne/cm2)。如圖中所示,在一實施例中,膜層應力為約1E9 dyne/cm2至約-1E9 dyne/cm2。在另一實施例中,膜層應力為約0.8 E9 dyne/cm2至約-0.8 E9 dyne/cm。膜層應力可隨著氮化時間 增長而由正轉負。在圖表420中,X軸係為氮化之射頻功率(單位為watts),Y軸係為膜層應力(單位為dyne/cm2)。在一實施例中,膜層應力為約1E9 dyne/cm2至約-1E9 dyne/cm2。在另一實施例中,膜層應力為約0.5 E9 dyne/cm2至約-0.6 E9 dyne/cm。膜層應力可隨射頻功率增加而由正轉負。因此,膜層應力可藉由電漿處理時間、施予之射頻功率及/或沉積與電漿處理之循環次數調整至一定程度。
參見第5圖,圖表500顯示依照本揭露實施例之一膜層之膜層密度。如圖所示,在一實施例中,氮化鈦層之膜層密度大於約4 g/cm3。在另一實施例中,氮化鈦層之膜層密度大於約4.8 g/cm3。膜層密度可藉由電漿處理時間、施予之射頻功率及/或沉積與電漿處理之循環次數調整至一定程度,並可影響晶粒尺寸及沉積膜層的微結構。
參見第6圖,其顯示依照本揭露一實施例之用以製造金屬硬罩幕之系統600。系統600包含反應腔室610(例如化學氣相沉積腔室)。反應腔室610包含第一電極612及設置於第一電極612及基材614上之第二電極616,其中第一電極612可用以支撐基材614。系統600更包含至少一反應氣體供應源620及至少一承載氣體供應源630。此至少一反應氣體供應源620及此至少一承載氣體供應源630皆可連接至反應腔室610,以能各自流入至少一反應氣體及至少一承載氣體進入至反應腔室610。系統600更包含連接至第二電極616之阻抗匹配單位650,以施予射頻功率至第一電極612及第二電極616之間。可在阻抗匹配單位650應用分接頭設定(tap setting),以控制施予至第一電 極612及第二電極616之間的射頻功率。在沉積期間,可在阻抗匹配單位應用相對於第一最大射頻功率之第一分接頭設定。在終止操作或電漿處理之期間,可在阻抗匹配單位應用相對於第二最大射頻功率之第二分接頭設定。第一射頻功率源660或電壓源係連接至第一電極,且第二射頻功率或電壓源670係提供至阻抗匹配單位650。幫浦及排放管680連接至腔室610,以在進行化學氣相沉積時提供抽氣,在反應腔室610中建立部分真空的環境,及在晶圓製程處理完成時提供用以清洗系統之氣體排放管線。在一實施例中,用於沉積及/或電漿處理之製程壓力係可設定在約1 Torr至約50 Torr。腔室610亦可包含加熱元件以控制腔室溫度至理想值。在一實施例中,沉積及/或電漿處理之製程溫度可為約350℃至450℃。
在一實施例中,腔室610為適於進行上述之製程或方法100及/或200之電漿增強式化學氣相沉積反應腔室。例如,此電漿增強式化學氣相沉積反應腔室可為Novellus Corporation of San Jose,California販售之CONCEPT I(商標名稱)。此外,亦可由其他任意反應腔室實現上述方法。
依照本揭露一實施例,第一電極612係與第二電極616相對設置,並具有間隔於其間。基材614設置於第一電極612及第二電極616之間。依照本揭露另一實施例,第一電極612可作為陽極,並可由第一射頻功率供應源660提供低頻電源功率。第二電極616可作為陰極,並可由第二射頻功率供應源670提供高頻電源功率。在一實施例中,第二電極616可包含噴淋頭(shower head)電極,其可設置 於鄰近第一電極,並用以自氣體供應源(例如反應氣體供應源620及承載氣體供應源630)供應氣體進入反應腔室。在其他實施例中,氣體反應物可藉由與第二電極分離之導管或其他工具進入腔室610。
第一電極612可用以支撐至少一基材,例如支撐半導體晶圓或介電基材。本說明書中所述及與第一電極有關之“支撐”係指,於所欲之方位上固定或放置一或多個基材,以能進行化學氣相沉積。因此,除了圖中所示之水平方位,基材亦可被支撐、固定或放置於其他方位。此外,雖然在此所舉例的是平行板電漿增強式化學氣相沉積腔室,亦可應用其他射頻功率系統來實現本揭露之方法。例如,本揭露之可併入美國專利6,705,246號所述之感應線圈式電漿增強式化學氣相沉積腔室作為參考。此外,雖然本揭露僅舉例顯示腔室610具有兩電極,但可知的是,反應腔室及其操作方法均不限於僅有兩電極之情況。再者,雖然本揭露僅舉例對單一基材作處理,但在其他實施例中,反應腔室可用以處理多個基材。
依照本揭露之一實施例,基材614可在各製程階段包含各種半導體裝置,及/或其他合適主動及/或被動裝置。例如,半導體裝置包含積體電路,其含金氧半場效電晶體(MOSFET)。金氧半場效電晶體(MOSFET)可包含互補式金氧半場效電晶體(CMOS)、CMOS影像感測器(CIS)及/或其他合適之主動及/或被動裝置。在一實施例中,基材可包含使用CMOS製程為主之製程所設計及形成之積體電路(或其中之一部分)。基材亦可具有由其他屬於本揭露之範圍中 之半導體製程技術所形成之裝置(例如積體電路)。
在一實施例中,基材614可包含半導體基材,例如包含矽。或者,基材614可包含鍺化矽、砷化鎵或其他合適半導體材料。半導體基材可包含在先前之製程步驟或在隨後之製程步驟期間所形成之各種下方膜層、裝置、接面及其他元件(未顯示)。
在本揭露之一實施例中,反應氣體源620及承載氣體源630可各自包含儲存器(reservoirs),並藉由進料管622、632及普通氣體進料管642連接至預混合腔室640。因此,至少一反應氣體及至少一承載氣體可各自由反應氣體源620及稀釋氣體源630提供至預混合腔室640,以在流入反應腔室610之前先預混合這些氣體為一均勻的氣體混合物。在一實施例中,預混合腔室640係直接設置在腔室610旁。
反應氣體源620可包含傳統的氣泡腔、加熱線圈、截止閥(shut-off valve)及/或輸出口。在一實施例中,反應氣體源620可依照所欲沉積之金屬層(例如鈦層、氮化鈦層、鉭層或氮化鉭層)提供各種反應氣體。在一實施例中,反應氣體可包含鈦鹵化物氣體、鈦有機物氣體、鉭鹵化物氣體或鉭有機物氣體。鈦鹵化物氣體可例如為四氯化鈦(TiCl4)。鈦有機物氣體可例如為四(二甲氨基)鈦(tetrakis-(dimethylamido)titanium,TDMAT)或四(二乙氨基)鈦(tetrakis-(diethylamido)titanium,TDEAT)。在另一實施例中,反應氣體亦可包含例如氮氣或氨氣等含氮氣體。雖然在此僅舉例單一反應氣體源620,如有需要,系統600 亦可包含多個反應氣體源,且其皆可以如反應氣體源620連接至反應腔室610之方式與反應腔室610連接。
承載氣體源630可包含加熱線圈、截止閥及/或輸出口,並提供各種承載氣體。承載氣體可包含但不限於:氦氣、氬氣或氫氣。
雖然沒有必要顯示,反應氣體及承載氣體可由反應氣體源620及承載/稀釋氣體源630經過各種裝置(例如導管、壓力調節器、閥門、質量流量控制器或其他流量控制器、岐管、及/或調節器)流入至沉積腔室610。在一實施例中,質量流量控制器可為電子式之質量流量控制器,其具有為可變之設定值,以提供固定之氣體流速及自動對外界參數變化作補償,這些外界變化可例如為系統中之導管之溫度變化、壓力變化。岐管(manifolds)可用以提供各種組合氣體之預混合。
進料管622及632皆可具有截止閥,用以在任意時間同時啟動或關閉系統的操作。反應氣體源620及/或承載氣體源630亦可包含截止閥。
系統600可更包含淨化氣體源,其可視需要連接至腔室610以在沉積開始前流入淨化氣體至腔室610中,並可用以清洗系統。淨化氣體源可提供各種淨化氣體。淨化氣體可包含但不限於:氮氣或氬氣。
在一實施例中,基材可為設置在陽極電極之上表面上之矽晶圓或介電基材,且此矽晶圓可經過製程處理而具有完整或尚在製程中間階段之積體電路。例如,晶圓可經製程處理,而具有導電內連線條設置於其中並與晶圓表面內 連接,且已準備接受塗佈保護介電層於其上。保護介電層可為氧化矽、氮化矽或前述之組合。
在一實施例中,由低頻射頻功率源660及高頻射頻功率源670之功率比率可隨欲沉積之介電層之應力變化而改變,例如可調整沉積形成之介電層之應力由高度拉伸狀態至高度壓縮狀態。在一實施例中,高頻射頻功率源670可在13.56 megahertz下操作,低頻射頻功率源660可在約10-400 kilohertz下操作。在一實施例中,施予至電漿增強式化學氣相沉積腔室之總射頻功率可介於約0 watts至約200 watts之間。雖然舉例的是射頻功率源660及670,但在另一實施例中,亦可使用單一的射頻功率源至電極612及616。例如,可合併參照美國專利6,705,246號之內容,使用單一的射頻功率源及一射頻功率分裂器(RF power splitter)。
如圖中所示,高頻射頻功率源670連接至阻抗匹配單位650以達到阻抗匹配之目的,其可實質上預防高頻射頻功率反射回高頻射頻功率源670,並最大化高頻射頻功率被電漿所吸收的量。阻抗匹配單位650包含各種電容及電感元件,用以阻抗匹配或最大化施予至反應腔室610之第一電極612及第二電極616之間的功率。
可在第二電極之阻抗匹配單位應用分接頭設定,以施予最大的射頻功率至第一電極及第二電極之間。用以施予最大化之射頻功率之分接頭設定可依照各種製程參數決定。
射頻功率源660及670用以提供射頻功率至電極,以 在製程腔室中有效發展電漿製程環境並提供相對於基材之所欲之偏壓。例如,電極之間的功率差異可利於朝向目標基材之離子或離子物質加速,其可增進順應覆蓋率及/或其他膜層組成均勻度。
可知的是,本領域具有通常知識者藉由在可知方式下修飾反應氣體源,以形成其他上述實施例所揭示之沉積材料,亦屬於本揭露及申請專利範圍之精神和範圍內。此外,在上述至少一製程步驟中,可在室溫下操作反應氣體源及承載氣體源,並因而可省略前述之加熱元件。易言之,前述之各種蒸氣及氣體輸送管線及位於氣體源及腔室間的蒸氣及氣體輸送管線可相對較短,以使系統之各種輸送管線在室溫下能具有合適的蒸氣流速。
在上述之各實施例中,更可以選擇在溫度為約350℃至500℃之間操作反應腔室610,並依照半導體基材在經處理時,視溫度是否會過度下降而選擇使用更高的溫度。在一實施例中,製程溫度可介於約350℃至約450℃之間。因此,這些或其他製程及材料之變化係清楚地在本揭露及申請專利範圍之精神和範圍內。
因此,本揭露提供了各種變化實施例。本揭露之一實施例係提供一種金屬硬罩幕之製造方法,其包含流入至少一金屬反應氣體進入一反應腔室中,用以進行化學氣相沉積,其中此至少一金屬反應氣體包含金屬鹵化物氣體或金屬有機物氣體。此方法更包含藉由使用此至少一金屬反應氣體之化學氣相沉積沉積一金屬硬罩幕層。依照本揭露一實施例,此方法更包含在此沉積形成之金屬硬罩幕層上進 行電漿處理,以調控此沉積之金屬硬罩幕層之應力及/或密度。此電漿處理可包含停止流入此至少一金屬反應氣體並以由至少一承載氣體所形成之電漿轟擊此沉積形成之硬罩幕氮化鈦層。
在另一實施例中,金屬硬罩幕之製造方法包含流入至少一金屬反應氣體及至少一承載氣體於一用以進行化學氣相沉積之反應腔室中,其中此至少一金屬反應氣體包含金屬鹵化物氣體或金屬有機物氣體。此方法更包含藉由以使用此至少一金屬反應氣體及此至少一承載氣體之化學氣相沉積沉積一氮化鈦層。依照一實施例,此方法更包含在此沉積形成之硬罩幕氮化鈦層上進行電漿處理,以調控此沉積形成之金屬硬罩幕層之應力及/或密度,並進行多次硬罩幕氮化鈦層之化學氣相沉積及電漿處理之循環,以形成多層硬罩幕氮化鈦層,其中每一硬罩幕氮化鈦層係沉積於另一已沉積形成之硬罩幕氮化鈦層上。
在又一實施例中,金屬硬罩幕包含以由含金屬鹵化物氣體或金屬有機物氣體之至少一金屬反應氣體來沉積鈦金屬硬罩幕層或鉭金屬硬罩幕層,其中該鈦金屬硬罩幕層係由使用鈦鹵化物前驅物或鈦有機物前驅物之電漿增強式化學氣相沉積或有機金屬化學氣相沉積沉積形成。
較佳而言,依照本揭露所揭露之用以製造金屬硬罩幕之方法及系統,及由這些方法及系統製造之金屬硬罩幕,可提供高密度且實質上無應力或具有減少之應力之金屬硬罩幕,例如密度可大於約4 g/cm3。這些方法簡單,且無需傳統沉積後鍛燒步驟,因而可在維持裝置效能並確保高介 電常數材料完整性之條件下,改善熱預算。因此,硬罩幕可再不需沉積後鍛燒製程之製程下製造形成。
雖然本揭露已以數個較佳實施例揭露如上,然其並非用以限定本揭露,任何所屬技術領域中具有通常知識者,在不脫離本揭露之精神和範圍內,當可作任意之更動與潤飾,因此本揭露之保護範圍當視後附之申請專利範圍所界定者為準。
310‧‧‧由物理氣相沉積形成之膜層
312‧‧‧柱狀晶粒
320‧‧‧由未經電漿處理之化學氣相沉積形成之膜層
322‧‧‧等軸晶粒
330‧‧‧由經電漿處理之化學氣相沉積形成之膜層
332‧‧‧等軸晶粒
410‧‧‧圖表
420‧‧‧圖表
500‧‧‧圖表
600‧‧‧系統
610‧‧‧反應腔室
612‧‧‧第一電極
614‧‧‧基材
616‧‧‧第二電極
620‧‧‧反應氣體源
622‧‧‧進料管
630‧‧‧承載氣體源
632‧‧‧進料管
640‧‧‧預混合腔室
642‧‧‧普通氣體進料管
650‧‧‧阻抗匹配單位
660‧‧‧第一射頻功率源
670‧‧‧第二射頻功率源
680‧‧‧排放管
第1圖顯示依照本揭露一實施例之金屬硬罩幕之製造方法之流程圖。
第2圖顯示依照本揭露另一實施例之金屬硬罩幕之製造方法之流程圖。
第3A、3B及3C圖各自顯示依照由物理氣相沉積、未經電漿處理之化學氣相沉積、經電漿處理之化學氣相沉積所形成之膜層之晶粒結構。
第4A及4B圖各自顯示膜層應力對於電漿處理時間及電金功率之關係圖表。
第5圖顯示依照本揭露一實施例之膜層之膜層硬度之圖表。
第6圖顯示依照本揭露一實施例之製造金屬硬罩幕之系統。
600‧‧‧系統
610‧‧‧反應腔室
612‧‧‧第一電極
614‧‧‧基材
616‧‧‧第二電極
620‧‧‧反應氣體源
622‧‧‧進料管
630‧‧‧承載氣體源
632‧‧‧進料管
640‧‧‧預混合腔室
642‧‧‧普通氣體進料管
650‧‧‧阻抗匹配單位
660‧‧‧第一射頻功率源
670‧‧‧第二射頻功率源
680‧‧‧排放管

Claims (10)

  1. 一種金屬硬罩幕之製造方法,包括:流入至少一金屬反應氣體至一反應腔室中,用以進行化學氣相沉積,其中該至少一金屬反應氣體包含一金屬鹵化物氣體或一金屬有機物氣體;以及使用該至少一金屬反應氣體進行化學氣相沉積,沉積一金屬硬罩幕層。
  2. 如申請專利範圍第1項所述之金屬硬罩幕之製造方法,其中該至少一金屬反應氣體係擇自一鈦鹵化物氣體、一鈦有機物氣體、一鉭鹵化物氣體及一鉭有機物氣體所組成之群組。
  3. 如申請專利範圍第1項所述之金屬硬罩幕之製造方法,更包含在該金屬硬罩幕層上進行一電漿處理,調控該金屬硬罩幕層之應力及/或密度,其中該電漿處理包含停止流入該至少一金屬反應氣體至該反應腔室,並以由氮氣、氨氣、氬氣、氦氣、氫氣或前述之組合所形成之電漿轟擊該金屬硬罩幕層。
  4. 如申請專利範圍第1項所述之金屬硬罩幕之製造方法,更包含流入一第二反應氣體至該反應腔室中,其中該第二反應氣體係擇自氮氣及氨氣所組成之群組。
  5. 一種氮化鈦硬罩幕之製造方法,包括:流入至少一金屬反應氣體及至少一承載氣體至一反應腔室中,以進行化學氣相沉積,其中該至少一金屬反應氣體包含一鈦鹵化物氣體或一鈦有機物氣體;以及使用該至少一金屬反應氣體及該至少一承載氣體進行 化學氣相沉積,沉積一氮化鈦硬罩幕層。
  6. 如申請專利範圍第5項所述之氮化鈦硬罩幕之製造方法,其中該至少一金屬反應氣體係擇自一鈦氯化物氣體及一鈦碳化物氣體所組成之群組,該至少一承載氣體係擇自氦氣、氬氣及氫氣所組成之群組。
  7. 如申請專利範圍第5項所述之氮化鈦硬罩幕之製造方法,更包含:在該氮化鈦硬罩幕層上進行一電漿處理,以調控該氮化鈦硬罩幕層之應力及/或密度,其中該電漿處理包含停止流入該至少一金屬反應氣體,並以由該至少一承載氣體所形成之電漿轟擊該氮化鈦硬罩幕層;以及進行多次的氮化鈦硬罩幕層之化學氣相沉積及電漿處理循環,以形成複數層氮化鈦硬罩幕層,其中其中每一氮化鈦硬罩幕層係沉積於另一已沉積形成之氮化鈦硬罩幕層上。
  8. 如申請專利範圍第7項所述之氮化鈦硬罩幕之製造方法,其中每一循環中之電漿處理包含以由氮氣、氨氣、氬氣、氦氣、氫氣或前述之組合所形成之電漿轟擊該氮化鈦硬罩幕層,其中該電漿處理的射頻功率為約0 Watts至約200 Watts,持續約0秒至約20秒。
  9. 一種金屬硬罩幕,包括:一鈦金屬硬罩幕層或一鉭金屬硬罩幕層,其係由使用含金屬氯化物氣體或金屬碳化物氣體之化學氣相沉積沉積形成;其中該鈦硬罩幕層係由使用鈦鹵化物前驅物或鈦有機 物前驅物作為反應氣體之電漿增強式化學氣相沉積或有機金屬化學氣相沉積沉積形成;其中該鉭硬罩幕層係由使用鉭鹵化物前驅物或鉭有機物前驅物之電漿增強式化學氣相沉積或有機金屬化學氣相沉積沉積形成。
  10. 如申請專利範圍第9項所述之金屬硬罩幕,其中該鈦金屬硬罩幕層或該鉭金屬硬罩幕層之密度大於約4 g/cm3,且應力係調控在約1E9 dyne/cm2及約-1E9 dyne/cm2之間。
TW101119694A 2012-01-05 2012-06-01 金屬硬罩幕、其製造方法及氮化鈦硬罩幕之製造方法 TWI439562B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/343,857 US8623468B2 (en) 2012-01-05 2012-01-05 Methods of fabricating metal hard masks

Publications (2)

Publication Number Publication Date
TW201329275A true TW201329275A (zh) 2013-07-16
TWI439562B TWI439562B (zh) 2014-06-01

Family

ID=48652683

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101119694A TWI439562B (zh) 2012-01-05 2012-06-01 金屬硬罩幕、其製造方法及氮化鈦硬罩幕之製造方法

Country Status (6)

Country Link
US (1) US8623468B2 (zh)
KR (1) KR101379089B1 (zh)
CN (1) CN103199007B (zh)
DE (1) DE102012206598B4 (zh)
SG (1) SG192315A1 (zh)
TW (1) TWI439562B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11008653B2 (en) 2016-07-15 2021-05-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9106606B1 (en) 2007-02-05 2015-08-11 F5 Networks, Inc. Method, intermediate device and computer program code for maintaining persistency
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
CN103426819A (zh) * 2013-08-27 2013-12-04 上海华力微电子有限公司 一种金属硬掩膜层及铜互连结构的制备方法
CN103413781B (zh) * 2013-08-27 2016-03-02 上海华力微电子有限公司 一种金属硬掩膜层及铜互连结构的制备方法
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9624577B2 (en) * 2014-07-22 2017-04-18 Applied Materials, Inc. Deposition of metal doped amorphous carbon film
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
US10428421B2 (en) * 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10347488B2 (en) * 2015-09-19 2019-07-09 Applied Materials, Inc. Titanium compound based hard mask films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN115233183A (zh) 2017-05-16 2022-10-25 Asm Ip 控股有限公司 电介质上氧化物的选择性peald
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US20190259618A1 (en) * 2018-02-19 2019-08-22 Stmicroelectronics (Crolles 2) Sas Process for forming a layer of a work function metal for a mosfet gate having a uniaxial grain orientation
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11532698B2 (en) * 2019-09-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Diffusion barrier layer in top electrode to increase break down voltage
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
US11566324B2 (en) * 2020-02-27 2023-01-31 Applied Materials, Inc. Conditioning treatment for ALD productivity
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6365495B2 (en) * 1994-11-14 2002-04-02 Applied Materials, Inc. Method for performing metallo-organic chemical vapor deposition of titanium nitride at reduced temperature
KR0164149B1 (ko) * 1995-03-28 1999-02-01 김주용 타이타늄 카보 나이트라이드층의 개질 방법
US6395128B2 (en) 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
DE19929306A1 (de) * 1999-06-25 2001-04-05 Infineon Technologies Ag Verfahren zur Herstellung einer strukturierten Edelmetallschicht
US6692898B2 (en) * 2001-01-24 2004-02-17 Infineon Technologies Ag Self-aligned conductive line for cross-point magnetic memory integrated circuits
KR100460086B1 (ko) * 2001-07-16 2004-12-04 주식회사 다산 씨.앤드.아이 확산방지막내에 동종 금속의 중간 금속박막을 적용한반도체 소자의 제조방법
US7105444B2 (en) * 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030124842A1 (en) * 2001-12-27 2003-07-03 Applied Materials, Inc. Dual-gas delivery system for chemical vapor deposition processes
US6884476B2 (en) * 2002-10-28 2005-04-26 General Electric Company Ceramic masking material and application method for protecting turbine airfoil component surfaces during vapor phase aluminiding
JP4076889B2 (ja) * 2003-03-26 2008-04-16 Tdk株式会社 磁気記録媒体の製造方法
US7732307B2 (en) * 2004-06-14 2010-06-08 Aviza Technology Limited Method of forming amorphous TiN by thermal chemical vapor deposition (CVD)
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
WO2007099428A1 (en) * 2006-02-28 2007-09-07 Stmicroelectronics (Crolles 2) Sas Metal interconnects in a dielectric material
US20070205507A1 (en) * 2006-03-01 2007-09-06 Hui-Lin Chang Carbon and nitrogen based cap materials for metal hard mask scheme
US20070254476A1 (en) * 2006-04-28 2007-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Cleaning porous low-k material in the formation of an interconnect structure
US20080207006A1 (en) * 2007-02-28 2008-08-28 James Scott Martin Process for fabricating an integrated circuit
WO2008111231A1 (ja) * 2007-03-15 2008-09-18 Fujitsu Microelectronics Limited 化学気相成長装置、膜の形成方法及び半導体装置の製造方法
WO2010062582A2 (en) * 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US20100151676A1 (en) * 2008-12-16 2010-06-17 Applied Materials, Inc. Densification process for titanium nitride layer for submicron applications
US20100190272A1 (en) * 2009-01-23 2010-07-29 United Microelectronics Corp. Rework method of metal hard mask
KR101211043B1 (ko) * 2010-04-05 2012-12-12 에스케이하이닉스 주식회사 매립게이트를 구비한 반도체 장치 제조방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11008653B2 (en) 2016-07-15 2021-05-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer

Also Published As

Publication number Publication date
CN103199007A (zh) 2013-07-10
US20130174982A1 (en) 2013-07-11
US8623468B2 (en) 2014-01-07
DE102012206598A1 (de) 2013-07-11
DE102012206598B4 (de) 2016-06-30
KR101379089B1 (ko) 2014-03-28
SG192315A1 (en) 2013-08-30
KR20130080751A (ko) 2013-07-15
CN103199007B (zh) 2016-02-24
TWI439562B (zh) 2014-06-01

Similar Documents

Publication Publication Date Title
TWI439562B (zh) 金屬硬罩幕、其製造方法及氮化鈦硬罩幕之製造方法
US10490413B2 (en) Selective growth of silicon nitride
US11075127B2 (en) Suppressing interfacial reactions by varying the wafer temperature throughout deposition
US11479856B2 (en) Multi-cycle ALD process for film uniformity and thickness profile modulation
US10199212B2 (en) Selective growth of silicon oxide or silicon nitride on silicon surfaces in the presence of silicon oxide
US10559461B2 (en) Selective deposition with atomic layer etch reset
US7651961B2 (en) Method for forming strained silicon nitride films and a device containing such films
US20220238325A1 (en) In-situ control of film properties during atomic layer deposition
US20180218915A1 (en) Isotropic etching of film with atomic layer control
US9786496B2 (en) Method of densifying films in semiconductor device
JP2015124397A (ja) コンタクト層の形成方法
CN110468388B (zh) 原子层沉积法形成氮化物膜的方法
US8916480B2 (en) Chemical vapor deposition film profile uniformity control
TW201732073A (zh) 用於增大反應離子與中性物質的比的方法
TW201907480A (zh) 形成鈦矽化物區域之方法
US20210320004A1 (en) Nitride films with improved etch selectivity for 3d nand integration
US20220068636A1 (en) Low stress films for advanced semiconductor applications
US20230178424A1 (en) Expandable doped oxide films for advanced semiconductor applications
WO2022203763A1 (en) Methods and apparatus for processing a substrate
KR20230159564A (ko) 기판을 프로세싱하기 위한 방법들 및 장치
WO2024091543A1 (en) Selective molybdenum fill