CN116635570A - 减轻应力引发的缺陷的碳cvd沉积方法 - Google Patents

减轻应力引发的缺陷的碳cvd沉积方法 Download PDF

Info

Publication number
CN116635570A
CN116635570A CN202180085817.1A CN202180085817A CN116635570A CN 116635570 A CN116635570 A CN 116635570A CN 202180085817 A CN202180085817 A CN 202180085817A CN 116635570 A CN116635570 A CN 116635570A
Authority
CN
China
Prior art keywords
carbon
gas
substrate
containing precursor
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180085817.1A
Other languages
English (en)
Inventor
V·V·哈桑
A·K·辛格
B·库玛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116635570A publication Critical patent/CN116635570A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02444Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

一种方法包括以下步骤:使含碳前驱物和载气流入其中定位有基板的处理容积中;通过向基板支撑件施加第一RF偏压来在处理容积中产生等离子体,以将碳膜的第一部分沉积到基板上;以及终止含碳前驱物的流动,同时维持载气的流动,以将等离子体维持在处理容积内。方法还包括以下步骤:使含氮气体流入处理容积中并在存在等离子体的情况下将含氮气体离子化;将其上具有碳膜的基板暴露于离子化的含氮气体达少于三秒的时间段;以及终止含氮气体的流动,同时维持等离子体并在存在等离子体的情况下将含碳前驱物重新引入处理容积中,以沉积碳膜的第二部分。

Description

减轻应力引发的缺陷的碳CVD沉积方法
背景
领域
本公开的实施例总体涉及在半导体器件的制造中利用的设备和方法。更具体地,本公开的实施例涉及沉积含碳膜(诸如碳硬模)的方法。
背景技术
集成电路已经发展成可在单个芯片上包括数百万个晶体管、电容器和电阻器的复杂装置。芯片设计的发展不断涉及更快的电路和更高的电路密度。对具有更高电路密度的更快电路的需求对用于制造此类集成电路的材料提出了相应的要求。特别是,随着集成电路部件的尺寸减小到亚微米级,存在使用低电阻率导电材料以及低介电常数绝缘材料来从此类部件获得合适的电性能的趋势。
对更大集成电路密度的需求也对在集成电路部件的制造中使用的工艺序列提出了要求。例如,在基板支撑件上具有高功率射频偏压的情况下,在基板上沉积高模量、高密度非晶碳膜的处理中。随着这些膜的厚度增加,由于内在应力(intrinsic stress)积聚引起的缺陷也呈指数增加。因此,本领域所需要的是用于制造半导体器件的改进方法。
发明内容
本公开总体涉及一种处理基板的方法。在一个实施例中,方法包括以下步骤:使含碳前驱物和载气流入其中定位有基板的处理容积中;通过向基板支撑件施加第一RF偏压来在处理容积中产生等离子体,以将碳膜的第一部分沉积到基板上;以及终止含碳前驱物的流动,同时维持载气的流动,以将等离子体维持在处理容积内。方法还包括以下步骤:使含氮气体流入处理容积中并在存在等离子体的情况下将含氮气体离子化;将其上具有碳膜的基板暴露于离子化的含氮气体达少于三秒的时间段;以及终止含氮气体的流动,同时维持等离子体并在存在等离子体的情况下将含碳前驱物重新引入处理容积中,以沉积碳膜的第二部分。
在另一个实施例中,一种方法包含以下步骤:使含碳前驱物和载气流入其中定位有基板的处理容积中;以及通过向基板支撑件施加第一RF偏压来在处理容积中产生等离子体,以将碳膜的第一部分沉积到基板上。方法还包括以下步骤:终止含碳前驱物的流动和载气的流动;使氨流入处理容积中并使碳膜与氨接触;终止氨的流动;以及将含碳前驱物和载气重新引入处理容积中,以沉积碳膜的第二部分。
在另一个实施例中,一种方法包括以下步骤:使含碳前驱物气体和第一载气流入其中定位有基板的处理容积,其中含碳前驱物气体与第一载气的比率为约2:1至约1:2;以及通过向基板支撑件施加第一RF偏压来在处理容积中产生等离子体,以将碳膜的第一部分沉积到基板上,其中碳膜的第一部分具有约至约/>的范围的厚度。方法进一步包括以下步骤:使含碳前驱物气体和第二载气流入处理容积中,以将碳膜的第二部分沉积到基板上,其中含碳前驱物气体与第二载气的比率为约1:5至约1:10,并且其中碳膜的第二部分具有约/>至约/>的范围的厚度。
附图说明
为了能够详细地理解本公开的上述特征的方式,可通过参考实施例获得上面简要概述的本公开的更具体的描述,所述实施例中的一些实施例图示在附图中。然而,应当注意,附图仅图示示例性实施例并且因此不应被认为是对范围的限制,因为本公开可允许其他等效的实施例。
图1是根据本公开的一个实施例的处理腔室的示意性侧横截面图。
图2A是说明根据本公开的一个实施例的沉积方法的流程图。
图2B是说明根据本公开的另一个实施例的沉积方法的流程图。
图3是说明根据本公开的另一个实施例的沉积方法的流程图。
为了便于理解,在可能的情况下使用了相同的附图标记来表示附图共有的相同元件。可预期,一个实施例的元件和特征可有益地结合到其他实施例中,而无需进一步叙述。
具体实施方式
本公开的实施例涉及在基板处理中利用的基板处理腔室,以及沉积含碳膜(诸如碳硬模)的方法。可适于受益于本公开的示例性各方面的处理腔室和/或系统的示例包括可从位于加利福尼亚州圣克拉拉市的应用材料公司商购获得的PIONEERTM PECVD系统。预期其他处理腔室和/或处理平台(包括来自其他制造商的处理腔室和/或处理平台)可适于受益于本公开的各方面。
图1是适合于进行沉积工艺的说明性处理腔室100的示意性侧横截面图。在一个实施例中,处理腔室100配置为将先进的图案化膜沉积到基板上,诸如硬模膜,例如非晶碳硬模膜。处理腔室100包括盖195、设置在腔室主体192上的间隔件110、基板支撑件115和可变压力系统120。处理容积160存在于盖195和基板支撑件115之间的间隔件110内侧。
盖195耦接到第一处理气体源140。第一处理气体源140含有处理气体,诸如用于在基板支撑件115上支撑的基板118上形成膜的前驱物气体。作为示例,前驱物气体包括含碳气体(诸如乙炔(C2H2))、载气、含氮气体、氢气和/或氦气以及其他气体中的一种或多种。
第二处理气体源142经由穿过间隔件110设置的入口144流体耦接到处理容积160。第二处理气体源142含有处理气体,诸如前驱物气体,诸如上文关于第一处理气体源140指出的那些气体。在一个示例中,第一处理气体源140和第二处理气体源142可以是气体箱,它们各自存储和控制多种不同气体到处理容积160的流动。
在可与其他实施例结合的一些实施例中,进入处理容积160的前驱物气体的总流率为约100sccm至约2slm。从第二处理气体源142进入处理容积160的前驱物气体的流率调节从第一处理气体源140进入处理容积160的前驱物气体流率,使得结合的前驱物气体均匀地分布在处理容积160中。在一个示例中,多个入口144围绕间隔件110周向分布。在这样的示例中,分别控制流向入口144中的每一者的气流以进一步促进前驱物气体在处理容积160内的均匀分布。
盖195包括气体分配器196,诸如圆顶、喷嘴或喷头。气体分配器196经由立管105耦接到间隔件110,但是构想到立管105可被省略并且气体分配器196可直接耦接到间隔件110。在可与其他实施例结合的一些实施例中,立管105与气体分配器196集成。盖195包括热交换器124。热交换器124附接到气体分配器196或与气体分配器196集成。热交换器124包括入口126和出口128。在热交换器124与气体分配器196集成的实施例中,热交换流体从入口126流出,通过在气体分配器196中形成的通道130,并流出出口128。
气体分配器196耦接到歧管146或与歧管146集成。气体分配器196通过导管150(诸如混合安瓿)耦接到远程等离子体源162,导管150具有轴向通孔152以促进等离子体流动通过导管150。虽然导管150图示为耦接到歧管146,但是构想到歧管146可与导管150集成,使得导管150直接耦接到气体分配器196。歧管146耦接到第一处理气体源140和净化气体源156。第一处理气体源140和净化气体源156两者都可通过阀门(未图示)耦接到歧管146。
尽管盖195可耦接到远程等离子体源162,但是在一些实施例中,省略了远程等离子体源162。当存在时,远程等离子体源162经由用于向处理容积160提供清洁气体的供给管线耦接到清洁气体源166。当远程等离子体源162不存在时,清洁气体源166直接耦接到导管150。当远程等离子体源162不存在时,清洁气体源166间接耦接到导管150。清洁气体通过导管150提供。附加地或替代地,在一些实施例中,清洁气体通过通道提供,所述通道还将前驱物气体输送到处理容积160中。作为示例,清洁气体可包括含氧气体,诸如分子氧(O2)和/或臭氧(O3)。作为示例,清洁气体可包括含氟气体,诸如NF3。作为示例,清洁气体可包括一种或多种其他气体。作为示例,清洁气体可包括气体的组合。
除了远程等离子体源162之外,或者作为远程等离子体源162的替代,盖195耦接到第一或上射频(RF)功率源168。第一RF功率源168促进维护或生成等离子体,诸如由清洁气体产生的等离子体。在省略远程等离子体源162的实施例中,清洁气体可经由第一RF功率源168原位离子化成等离子体。基板支撑件115耦接到第二或下RF功率源170。第一RF功率源168可以是高频RF功率源(例如,约13.56MHz至约120MHz),并且第二RF功率源170可以是低频RF功率源(例如,约2MHz至约13.56MHz)。应当注意,也可考虑其他频率。在一些实现中,第二RF功率源170是混合频率RF功率源,提供高频和低频功率两者。利用双频RF功率源(特别是用于第二RF功率源170)改善了膜沉积。在一个示例中,约2MHz至约13.56MHz的第一频率改进了物质到沉积膜中的注入,而约13.56MHz至约120MHz的第二频率增加了膜的离子化和沉积速率。
第一RF功率源168和第二RF功率源170中的一者或两者可用于在处理容积160中产生或维持等离子体。例如,第二RF功率源170可在沉积工艺期间利用,并且第一RF功率源168可在清洁工艺期间利用(单独地或与远程等离子体源162结合)。在一些沉积工艺中,第一RF功率源168与第二RF功率源170结合使用。在沉积工艺期间,第一RF功率源168和第二RF功率源170中的一者或两者提供约100瓦(W)至约20,000W的功率到处理容积160,以促进前驱物气体的离子化。在可与本文所述的其他实施例结合的一个实施例中,第一RF功率源168和第二RF功率源170中的至少一个是脉冲的。在可与本文所述的其他实施例结合的另一实施例中,前驱物气体包括氦气和C2H2。在可与本文所述的其他实施例结合的一个实施例中,以约10sccm至约1,000sccm的流率提供C2H2,并且以约50sccm至约10,000sccm的流率提供氦气。
基板支撑件115耦接到提供基板支撑件115在Z方向上的移动的致动器172(例如,提升致动器)。基板支撑件115还耦接到柔性的设施电缆178,设施电缆178允许基板支撑件115的垂直移动,同时维持与第二RF功率源170以及其他功率和/或流体连接的连通。间隔件110设置在腔室主体192上。间隔件110的高度允许基板支撑件115在处理容积160内垂直移动。间隔件110的高度可为从约0.5英寸至约20英寸。在一个示例中,基板支撑件115可相对于盖195(例如,相对于气体分配器196的基准面180)从第一距离174移动到第二距离176。在一个实施例中,第二距离176是第一距离174的约三分之二。例如,在第一距离174和第二距离之间的差可为约5英寸至约6英寸。因此,从图1所示的位置,基板支撑件115可相对于气体分配器196的基准面180移动约5英寸至约6英寸。在另一示例中,基板支撑件115固定在第一距离174和第二距离176处。
与传统的等离子体增强化学气相沉积(PECVD)工艺相比,间隔件110极大地增加了在基板支撑件115和盖195之间的距离(以及因此在基板支撑件115和盖195之间的容积)。在基板支撑件115和盖195之间增加的距离减少了处理容积160中离子化物质的碰撞,从而导致膜沉积具有较小的内在应力,诸如小于300兆帕(MPa),诸如250MPa的应力。以较小应力沉积的膜有利于在其上形成膜的基板的改善的平面性(例如,更少的弯曲)。减少基板的弯曲导致下游图案化操作的精确度提高。
可变压力系统120包括第一泵182和第二泵184。第一泵182是可在清洁工艺和/或基板传送工艺期间利用的粗抽泵。粗抽泵通常配置用于移动更高的体积流率和/或操作相对更高(尽管仍然低于大气压)的压力。在一个示例中,第一泵182在清洁工艺期间维持处理腔室100内的压力小于50毫托。在另一实例中,第一泵182维持处理腔室100内的压力为约0.5毫托至约10托。在清洁操作期间利用粗抽泵有利于清洁气体的(与沉积操作相比)相对较高的压力和/或体积流率。在清洁操作期间相对较高的压力和/或体积流率改善了腔室表面的清洁。
第二泵184是涡轮泵或低温泵。在沉积工艺期间利用第二泵184。第二泵184通常配置为操作相对较低的体积流率和/或压力。例如,第二泵184配置为将处理腔室的处理容积160维持在小于约50毫托的压力下。在另一示例中,第二泵184维持处理腔室内的压力为约0.5毫托至约10托。当沉积碳基硬模时,在沉积期间维持的处理容积160的减小的压力有利于沉积具有减小的应力和/或增加的sp2-sp3转化的膜。因此,处理腔室100配置为利用相对较低的压力来改善沉积和利用相对较高的压力来改善清洁这两者。
在可与本文所述的其他实施例结合的一些实施例中,第一泵182和第二泵184两者在沉积工艺期间被利用以将处理腔室的处理容积160维持在低于约50毫托。在其他实施例中,第一泵182和第二泵184将处理容积160维持在约0.5毫托至约10托的压力下。阀门186用于控制通向第一泵182和第二泵184中的一者或两者的传导路径。阀门186还提供从处理容积160的对称泵送。
处理腔室100还包括基板传送端口185。基板传送端口185被内门190和外门191中的一者或两者选择性地密封。门190和门191中的每一个都耦接到致动器188(即,门致动器)。门190和门191促进处理容积160的真空密封。门190和门191还在处理容积160内提供对称RF应用和/或等离子体对称。在一个示例中,至少内门190由促进RF功率传导的材料形成,诸如不锈钢、铝或其合金。设置在间隔件110和腔室主体192的接口处的密封件193(诸如O形环)可进一步密封处理容积160。控制器194配置为在处理期间控制处理腔室100的各方面。控制包括用于执行本文所述的一种或多种方法的硬件和软件。
在操作中,处理腔室100用于将非晶碳膜沉积到基板上。在常规处理中,缺陷形成产生低质量的膜。随着这些膜的厚度的增加,缺陷的出现呈指数增加。然而,使用本文所述的方法(诸如基于等离子体的间歇性处置以减轻应力或新的工艺化学),缺陷被减少。
图2A描绘了用于减轻膜(诸如碳膜)中的内在应力积累的基于等离子体的处置的方法200。在操作202处,经由PECVD在由基板支撑件115(图1所示)支撑的基板118上沉积碳的主体层。在操作202中,含碳前驱物气体(诸如乙炔(C2H2))和载气(诸如氦(He))被引入到处理腔室的处理容积中并且被离子化以形成电容耦合等离子体。C2H2和He促进碳膜在基板上的沉积。操作202可发生达约30秒至约120秒,诸如约45秒至约75秒的时间段。碳膜沉积在基板上至在从约至约/>诸如约/>至约/>的范围内的第一厚度。在碳膜沉积期间,处理腔室内部容积维持在从约3毫托至约20毫托的范围内的压力下。含碳气体的流率在从约30sccm至约1000sccm的范围内。在碳膜沉积期间,基板的温度在从约10℃至约20℃的范围内。作为在基板上进行沉积的结果,碳也沉积在容纳基板的处理腔室内的内表面上。由于处理腔室的处理容积相对较大,这是响应于在基板支撑件与气体分配器之间增加的间距而产生的(例如,约4英寸至约18英寸,诸如约6英寸至约16英寸、诸如约7英寸至约14英寸、诸如约11英寸至约14英寸),沉积在基板上的膜包括大量sp3碳,与沉积在腔室的表面上的膜相比,具有更低的内在应力。虽然操作202将C2H2气体描述为含碳前驱物,但也考虑了其他含碳前驱物气体。
在操作204处,停止含碳前驱物(例如,乙炔)的流动而不熄灭等离子体(例如,载气的流动继续)。所有其他处理条件可保持不变。载气的持续流动和RF功率的持续施加在处理腔室内维持(载气的)等离子体。
在操作206处,将含氮气体引入处理容积,从而产生含氮等离子体。应注意,在产生含氮等离子体的同时,基板仍保留在处理容积中,由此提高处理产量。含氮气体包括氨(NH3)、双原子氮(N2)或三氟化氮(NF3)中的一种或多种。当利用NF3时,NF3对基板的相对较短的暴露时间(诸如约5秒或更短)和/或下文描述的其他处理条件使氟对碳膜的蚀刻最小化。在不存在含碳前驱物的情况下,将含氮等离子体维持达小于约5秒或小于约3秒,诸如约0.5秒至约3秒,诸如1秒的时间段。含氮等离子体维持达总沉积时间的约1%至约2%(例如,操作206期间的处理时间为操作202和206的最高的处理时间的约1%至约2%)。在维持含氮等离子体的同时,处理腔室的内部容积维持在约3毫托至约20毫托的压力下。含氮气体的流率为约30sccm至约1000sccm。基板的温度在从约10℃至约20℃的范围内。在沉积具有在从约至约/>诸如约/>至约/>的范围内的厚度的碳膜之后引入含氮等离子体。
由于基板对含氮等离子体的相对较短的暴露时间,所以在基板上的所得碳膜中掺入最少氮或没有掺入氮。然而,碳膜暴露于氮会导致所沉积碳膜的内在应力降低。例如,将基板上的碳膜暴露于氮降低了基板上碳膜的内在应力,这减少了弯曲的发生并提高了下游处理准确度。同样地,将处理腔室的内表面上的碳膜暴露于氮降低了处理腔室的内表面上的碳膜的内在应力。与沉积在基板上的碳膜相比,沉积在处理腔室的内表面上的碳膜的质量较低(例如,较少的sp3碳)。与沉积在基板上的高质量碳膜相比,操作206的暴露于氮具有更大的降低腔室部件上的低质量碳膜的内在应力的效果。减小的应力减少了内腔室表面上碳膜开裂/剥落的发生(否则,在没有含氮等离子体暴露的情况下,随着膜厚度的增加,碳膜开裂/剥落会呈指数增加),由此减少基板上的污染。此外,相对于不包括本文所述的氮暴露工艺的类似工艺,将氮最少地掺入到基板上的碳膜中不会改变碳膜的性质。
在操作208处,停止含氮气体的流动而不熄灭等离子体。在整个工艺中维持等离子体点火有利于最小化含氮等离子体暴露以及在含氮气体和含碳前驱物之间的快速转变。在操作210处,重复操作202-208直到达到期望的膜厚度。形成在基板(诸如基板118)上的所得膜厚度可在约至约3.5微米之间,诸如在1微米和约3微米之间。包括间歇性处置(诸如操作206的处理)减少了污染的发生,同时延长了在清洁之间的时间(或沉积工艺),从而提高了产量,以用于沉积1微米或更大的碳硬模膜。
图2B是说明根据本公开的另一个实施例的沉积方法250的流程图。方法250开始于操作212处。在操作212处,经由PECVD沉积碳的主体层,从而在由基板支撑件115(见图1)支撑的基板118上形成碳膜。作为沉积工艺的结果,碳膜也沉积在处理腔室的内表面上。在操作212中,含碳前驱物气体(诸如乙炔(C2H2))和载气(诸如氦(He))被引入到处理腔室的处理容积中并且被离子化以形成电容耦合等离子体。C2H2和He促进碳膜在基板上的沉积。碳膜沉积在基板上至在从约至约/>诸如约/>至约/>的范围内的第一厚度。操作202可发生达约30秒至约120秒,诸如约45秒至约75秒的时间段。虽然操作212将C2H2气体描述为含碳前驱物,但也考虑了其他含碳前驱物气体。
在操作214处,中止含碳前驱物的流动并且停止RF功率施加,从而熄灭等离子体。载气继续流动。所有其他处理条件可保持不变,从而促进在工艺之间的快速过渡,从而导致较高的产量。
在操作216处,NH3气体被引入处理容积160中,可选地与载气(诸如氦气)结合。应注意,当NH3气体被引入到处理容积中时,基板保留在处理容积中,由此提高处理产量。利用NH3的孤对电子,在膜上形成碳酸氢盐表面。最少氮或没有氮掺入所得碳膜中。NH3充当催化剂,从而促进随后的碳沉积的键合。在不存在含碳前驱物等离子体的情况下,将NH3气体引入达小于约5秒或小于约3秒,诸如约0.5秒至约3秒,诸如约1秒的时间段。NH3气体维持达总沉积时间的约1%至约2%(例如,操作216发生达操作212和216的总组合时间的约1%至约2%)。在沉积在从约至约/>诸如约/>至约/>的范围内的碳膜之后引入NH3气体。NH3气体的短暂暴露时间足以产生氨终止表面,所述氨终止表面充当催化剂以改善碳键合,由此减少碳膜从处理腔室的内表面剥落。与沉积在基板上的碳膜相比,沉积在处理腔室内表面上的碳膜质量较低(例如,减少的sp3含量)。与沉积在基板上的高质量碳膜相比,操作216的暴露于NH3具有更大的降低腔室部件上的低质量碳膜的内在应力的效果。减小的应力减少了腔室表面上碳膜开裂/剥落的发生(否则,在没有含氮等离子体暴露的情况下,随着膜厚度的增加,碳膜开裂/剥落呈指数增加),由此减少基板上的污染。在操作216期间以约3毫托至约20毫托的压力引入处理腔室内部容积。NH3气体的流率在从约30sccm至约1000sccm的范围内。在操作216期间基板的温度在从约10℃至约20℃的范围内。在一个示例中,NH3在操作216期间保持在气态。
在操作218处,停止NH3气体的流动,而所有其他处理条件保持不变。在操作220处,重复操作212-218直到达到期望的膜厚度。形成在基板(诸如基板118)上的所得膜的厚度可为在约至约3.5微米之间,诸如在约1微米和约3微米之间。
图3是图示根据本公开的另一个实施例的沉积碳膜(诸如碳硬模)的方法300的流程图。方法300包括在基板上和处理腔室的内表面上沉积超薄膜以减轻内在应力缺陷,并且可与以上所述的方法200或方法250中的任一者结合使用。
方法300开始于操作302处。在操作302处,经由PECVD沉积碳的主体层,从而在由基板支撑件115(参见图1)支撑的基板118上形成碳膜。作为沉积工艺的结果,碳膜也沉积在处理腔室的内表面上。在操作302中,含碳前驱物气体(诸如乙炔(C2H2))和载气(诸如氦气或氩气)被引入到处理腔室的处理容积中并且被离子化以形成电容耦合等离子体。含碳前驱物和载气促进碳膜在基板上的沉积。碳膜沉积至在约至约/>诸如约/>至约/>的范围内的第一厚度。操作302可发生达约30秒至约120秒,诸如约45秒至约75秒的时间段。虽然操作302将C2H2气体描述为含碳前驱物,但也考虑了其他含碳前驱物气体。在操作302期间,含碳前驱物(例如,C2H2)与载气(例如,He)流率的比率为约1:1至约1:10,诸如约1:2。含碳前驱物气体以在从约30sccm至约1000sccm的范围内的流率提供至处理腔室。在沉积期间将基板的温度维持在约10℃至约20℃的范围中。处理容积160的压力维持在约3毫托至约20毫托。
在操作304处,例如通过切换载气、引入额外的载气或引入含氮掺杂剂来改变含碳前驱物气体和载气的工艺化学。其他工艺化学变化可能包括调整载气与含碳气体的比率,或调整腔室内的压力、流率、RF功率或偏压功率中的一者或多者,这可能直接或间接影响处理容积内的自由基的组成(例如,自由基物质和/或它们之间的相对比率)。在一个示例中,虽然在操作302处含碳前驱物与载气的比率为1:1,但在操作304处含碳前驱物与载气的比率可被调整到1:5至1:110的范围内。通过改变含碳前驱物与载气的比率(或通过上述其他方式改变工艺化学),可改变膜的应力和微结构。通过调节前驱物气体与惰性气体的比率、等离子体功率和压力,等离子体中的物质因此改变,从而控制碳膜的应力和微结构。在操作304处沉积的膜的厚度可在约至约/>诸如约/>至约/>之间。
在另一实施例中,在操作304处沉积氮掺杂膜。在这个实施例中,氮或氮基化合物(诸如NH3)(诸如通过控制膜的形态)控制腔室主体上的膜应力。NH3的孤对电子起到催化剂的作用,以分解含碳前驱物,从而使膜的生长具有更好的内聚力(cohesion)。在这个实施例中,除了含碳前驱物和载气之外,还以约20sccm至约100sccm的流率引入含氮气体。沉积膜中的氮的浓度小于约5原子百分比。在这个实施例中,含碳气体与载气的流率比率为1:1。在操作304处沉积的膜的厚度可在约至约/>厚,诸如约/>至约/>厚之间。诸如在操作302处沉积的碳沉积约/>至约/>之后,在操作304处沉积的氮掺杂膜迭代发生,从而产生分层的所得膜。
在又一个实施例中,在操作304处利用氩等离子体来沉积碳膜。可使用氩等离子体代替氦。由于在氩气和氦气之间不同的电子温度,C2H2不同地分解(例如,分解成不同的自由基和/或分解成不同比率的自由基),从而控制等离子体密度并改变在操作304处沉积的膜的微结构。因此,可使用单个含碳前驱物但同时交替载气来将碳膜沉积在基板上(并相应地沉积在处理腔室的内表面上)。虽然使用氩气和氦气作为示例,但预期在操作302和304中可使用其他载气(诸如工艺惰性和/或稀有气体)。因此,可通过在沉积期间的不同时间处使用不同的载气成分来简单地调整所沉积膜的特性。
在操作306处,重复操作302-304直到达到期望的膜厚度。形成在基板(诸如基板118)上的所得膜厚度在约1微米至约3微米厚之间。
本文提供的示例调节碳膜的内在应力,从而减轻基板的无意弯曲以及减轻碳膜从腔室部件剥落。可在基板保留在腔室的处理环境中的同时,执行本文所述的处置工艺,从而提高产量,但同时将基板上的碳膜(诸如碳硬模)维持在工艺规范内。
虽然上文涉及本公开的实施例,但是在不背离本公开的基本范围的情况下可设计本公开的其他和进一步的实施例,并且本公开的范围由所附权利要求书确定。

Claims (20)

1.一种处理基板的方法,包含以下步骤:
使含碳前驱物和载气流入其中定位有基板的处理容积中;
通过向基板支撑件施加第一RF偏压来在所述处理容积中产生等离子体,以将碳膜的第一部分沉积到所述基板上;
终止所述含碳前驱物的流动,同时维持所述载气的流动,以将所述等离子体维持在所述处理容积内;
使含氮气体流入所述处理容积中并在存在所述等离子体的情况下将所述含氮气体离子化;
将其上具有所述碳膜的所述基板暴露于离子化的所述含氮气体达少于三秒的时间段;
终止所述含氮气体的流动,同时维持所述等离子体;以及
在存在所述等离子体的情况下将所述含碳前驱物重新引入所述处理容积中,以沉积所述碳膜的第二部分。
2.如权利要求1所述的方法,其中所述基板维持在约10℃至约20℃的范围内的温度下。
3.如权利要求1所述的方法,其中所述处理容积维持在约3毫托至约20毫托的范围内的压力下。
4.如权利要求1所述的方法,其中所述含碳前驱物的流率在约30sccm至约1000sccm的范围内。
5.如权利要求1所述的方法,其中所述含碳前驱物被引入达约30秒至约120秒。
6.如权利要求1所述的方法,其中所述碳膜被沉积至约1微米和约3微米的最终总厚度。
7.如权利要求1所述的方法,其中所述含碳前驱物是乙炔。
8.一种处理基板的方法,包含以下步骤:
使含碳前驱物和载气流入其中定位有基板的处理容积中;
通过向基板支撑件施加第一RF偏压来在所述处理容积中产生等离子体,以将碳膜的第一部分沉积到所述基板上;
终止所述含碳前驱物的流动和所述载气的流动;
使氨流入所述处理容积中并使所述碳膜与所述氨接触;
终止所述氨的流动;以及
将所述含碳前驱物和所述载气重新引入所述处理容积中,以沉积所述碳膜的第二部分。
9.如权利要求8所述的方法,其中所述氨流入所述处理容积中达少于3秒的时间。
10.如权利要求8所述的方法,其中所述氨保持气态。
11.如权利要求8所述的方法,其中所述含碳前驱物的流率在约30sccm至约1000sccm的范围内。
12.如权利要求8所述的方法,其中所述含碳前驱物被引入达约30秒至约120秒。
13.如权利要求8所述的方法,其中所述氨被引入达约0.5秒至约3秒。
14.如权利要求8所述的方法,其中所述碳膜被沉积至约1微米和约3微米的最终总厚度。
15.如权利要求8所述的方法,其中所述含碳前驱物是乙炔。
16.一种处理基板的方法,包含以下步骤:
使含碳前驱物气体和第一载气流入其中定位有基板的处理容积,其中所述含碳前驱物气体与所述第一载气的比率为约2:1至约1:2;
通过向基板支撑件施加第一RF偏压来在所述处理容积中产生等离子体,以将碳膜的第一部分沉积到所述基板上,其中所述碳膜的所述第一部分具有约至约/>的范围的厚度;以及
使所述含碳前驱物气体和第二载气流入所述处理容积中,以将所述碳膜的第二部分沉积到所述基板上,其中所述含碳前驱物气体与所述第二载气的比率为约1:5至约1:10,并且其中所述碳膜的所述第二部分具有约至约/>的范围的厚度。
17.如权利要求16所述的方法,其中所述第一载气是氦气并且所述第二载气是氩气。
18.如权利要求16所述的方法,其中所述含碳前驱物气体是乙炔。
19.如权利要求16所述的方法,其中所述第一载气与所述第二载气相同。
20.如权利要求16所述的方法,其中所述碳膜是硬模并且被沉积至约1微米和约3微米的最终总厚度。
CN202180085817.1A 2020-12-03 2021-11-22 减轻应力引发的缺陷的碳cvd沉积方法 Pending CN116635570A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/110,774 US20220178026A1 (en) 2020-12-03 2020-12-03 Carbon cvd deposition methods to mitigate stress induced defects
US17/110,774 2020-12-03
PCT/US2021/060280 WO2022119735A1 (en) 2020-12-03 2021-11-22 Carbon cvd deposition methods to mitigate stress induced defects

Publications (1)

Publication Number Publication Date
CN116635570A true CN116635570A (zh) 2023-08-22

Family

ID=81848569

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180085817.1A Pending CN116635570A (zh) 2020-12-03 2021-11-22 减轻应力引发的缺陷的碳cvd沉积方法

Country Status (6)

Country Link
US (1) US20220178026A1 (zh)
JP (1) JP2023553008A (zh)
KR (1) KR20230117396A (zh)
CN (1) CN116635570A (zh)
TW (1) TW202229642A (zh)
WO (1) WO2022119735A1 (zh)

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6114714A (en) * 1995-11-07 2000-09-05 Gangopadhyay; Shubhra Antifuse development using α-c:h,n,f thin films
US20020032073A1 (en) * 1998-02-11 2002-03-14 Joseph J. Rogers Highly durable and abrasion resistant composite diamond-like carbon decorative coatings with controllable color for metal substrates
US6565719B1 (en) * 2000-06-27 2003-05-20 Komag, Inc. Magnetic disk comprising a first carbon overcoat having a high SP3 content and a second carbon overcoat having a low SP3 content
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
KR100777043B1 (ko) * 2007-05-22 2007-11-16 주식회사 테스 비정질 탄소막 형성 방법 및 이를 이용한 반도체 소자의제조 방법
KR100855464B1 (ko) * 2007-09-10 2008-09-01 주식회사 아토 비정질탄소막 증착방법
US8028653B2 (en) * 2007-12-06 2011-10-04 Hitachi Global Storage Technologies Netherlands, B.V. System, method and apparatus for filament and support used in plasma-enhanced chemical vapor deposition for reducing carbon voids on media disks in disk drives
WO2010009364A1 (en) * 2008-07-18 2010-01-21 Sandisk 3D, Llc Carbon-based resistivity-switching materials and methods of forming the same
US20100032639A1 (en) * 2008-08-07 2010-02-11 Sandisk 3D Llc Memory cell that includes a carbon-based memory element and methods of forming the same
US8105465B2 (en) * 2008-10-14 2012-01-31 Applied Materials, Inc. Method for depositing conformal amorphous carbon film by plasma-enhanced chemical vapor deposition (PECVD)
JP2013515376A (ja) * 2009-12-22 2013-05-02 アプライド マテリアルズ インコーポレイテッド 連続プラズマを用いるpecvd(プラズマ化学気相堆積)マルチステップ処理
US20110244142A1 (en) * 2010-03-30 2011-10-06 Applied Materials, Inc. Nitrogen doped amorphous carbon hardmask
US8563414B1 (en) * 2010-04-23 2013-10-22 Novellus Systems, Inc. Methods for forming conductive carbon films by PECVD
US20140273461A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Carbon film hardmask stress reduction by hydrogen ion implantation
US20140263173A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Methods for improving etching resistance for an amorphous carbon film
US10526701B2 (en) * 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10121506B1 (en) * 2015-12-29 2018-11-06 WD Media, LLC Magnetic-recording medium including a carbon overcoat implanted with nitrogen and hydrogen
JP7235683B2 (ja) * 2017-06-08 2023-03-08 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
KR20230169487A (ko) * 2017-12-01 2023-12-15 어플라이드 머티어리얼스, 인코포레이티드 고 에칭 선택성 비정질 탄소 막
CN108085657B (zh) * 2017-12-29 2020-03-17 苏州大学 基于螺旋波等离子体技术制备氮掺杂类金刚石薄膜的方法
US10705273B2 (en) * 2018-03-26 2020-07-07 Raytheon Company Multispectral interference coating with diamond-like carbon (DLC) film
WO2019199681A1 (en) * 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US11749467B2 (en) * 2020-10-29 2023-09-05 Qilu University Of Technology Carbon cloth/gallium oxynitride and working electrode and supercapacitor thereof

Also Published As

Publication number Publication date
US20220178026A1 (en) 2022-06-09
JP2023553008A (ja) 2023-12-20
KR20230117396A (ko) 2023-08-08
WO2022119735A1 (en) 2022-06-09
TW202229642A (zh) 2022-08-01

Similar Documents

Publication Publication Date Title
US10424464B2 (en) Oxide etch selectivity systems and methods
CN111286719B (zh) 调节远程等离子源以获得具有可重复蚀刻与沉积率的增进性能
US9627221B1 (en) Continuous process incorporating atomic layer etching
TW202142723A (zh) 使用預處理沉積氮化矽層之方法、使用該方法所形成之結構及用於進行該方法之系統
KR101379089B1 (ko) 금속 하드 마스크 제조
JP6971267B2 (ja) 高温で処理チャンバ内のホウ素―炭素残留物を除去するための洗浄プロセス
US10388511B2 (en) Method of forming silicon nitride film, film forming apparatus and storage medium
US20060090700A1 (en) Gas-introducing system and plasma CVD apparatus
US20170306493A1 (en) Method & apparatus to prevent deposition rate/thickness drift, reduce particle defects & increase remote plasma system lifetime
US20050221000A1 (en) Method of forming a metal layer
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
KR102481860B1 (ko) 가열기 상의 알루미늄 플루오르화물 축적을 방지하기 위한 기법
CN110140193B (zh) 用于实现高温处理而没有腔室漂移的方法
US20240038539A1 (en) Selective processing with etch residue-based inhibitors
KR101759769B1 (ko) Ti막의 성막 방법
CN116635570A (zh) 减轻应力引发的缺陷的碳cvd沉积方法
US20220178017A1 (en) Cfx layer to protect aluminum surface from over-oxidation
KR102094540B1 (ko) 플라즈마를 이용한 박막 제조방법 및 장치
WO2021252140A1 (en) Plasma cleaning methods for processing chambers
TW201736642A (zh) 用於蝕刻硬體之基於氫電漿清洗處理
US11955333B2 (en) Methods and apparatus for processing a substrate
US20230395372A1 (en) Method and system for forming patterned structures using multiple patterning process
US20230357921A1 (en) Deposition rate enhancement of amorphous carbon hard mask film by purely chemical means
US20230022359A1 (en) Methods, apparatus, and systems for maintaining film modulus within a predetermined modulus range
CN116568862A (zh) 陈化处理腔室的方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination