CN110140193B - 用于实现高温处理而没有腔室漂移的方法 - Google Patents

用于实现高温处理而没有腔室漂移的方法 Download PDF

Info

Publication number
CN110140193B
CN110140193B CN201780082344.3A CN201780082344A CN110140193B CN 110140193 B CN110140193 B CN 110140193B CN 201780082344 A CN201780082344 A CN 201780082344A CN 110140193 B CN110140193 B CN 110140193B
Authority
CN
China
Prior art keywords
chamber
substrate
power
processing
dielectric layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201780082344.3A
Other languages
English (en)
Other versions
CN110140193A (zh
Inventor
M·W·蒋
P·P·杰哈
D·帕德希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN110140193A publication Critical patent/CN110140193A/zh
Application granted granted Critical
Publication of CN110140193B publication Critical patent/CN110140193B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Public Health (AREA)
  • Epidemiology (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本公开内容的实现方式提供用于在处理腔室中处理基板的方法。在一个实现方式中,所述方法包括:(a)在第一腔室压力下使用第一高频RF功率在第一基板上沉积介电层;(b)在第二腔室压力下在所述第一基板之后的N个基板上顺序地沉积介电层,其中N是5至10的整数,并且其中沉积N个基板中的每个基板包括使用第二高频RF功率,所述第二高频RF功率具有比所述第一高频RF功率的功率密度低约0.21W/cm2至约0.35W/cm2的功率密度;(c)在不存在基板的情况下执行腔室清洁工艺;以及(d)重复(a)至(c)。

Description

用于实现高温处理而没有腔室漂移的方法
技术领域
本公开内容的实现方式整体涉及用于处理工艺腔室以改进沉积均匀性的改进的方法。
背景技术
半导体工业中的等离子体反应器通常由含铝材料制成。特别是在多晶硅、金属或氧化物沉积腔室中,当使用诸如NF3或CF4之类的含氟气体作为化学物质时,可以在铝表面上形成氟化铝层。已观察到,氟化铝的形成在高于480摄氏度具有显著蒸气压力并且在此温度下开始升华。氟化铝然后被运输到腔室部件,诸如工艺腔室的面板。氟化铝在面板上冷凝并形成层,所述层可能在腔室中的后续工艺期间剥落并且用颗粒污染基板表面。氟化铝难以除去,并且在面板被污染之后,未有已知的方法来提供面板和/或真空腔室的原位清洁。因此,在真空腔室内的沉积速率漂移并且腔室变得不稳定。
因此,本领域中需要提供一种用于清洁工艺腔室的改进的方法,从而使得最小化或避免沉积速率漂移和在处理期间氟化铝污染基板表面的可能性。
发明内容
本公开内容的实现方式提供用于在工艺腔室中处理基板的方法。在一个实现方式中,所述方法包括:(a)在第一腔室压力下使用第一高频RF功率在第一基板上沉积介电层;(b)在第二腔室压力下在所述第一基板之后的N个基板上顺序地沉积介电层,其中N是5至10的整数,并且其中沉积N个基板中的每个基板包括使用第二高频RF功率,所述第二高频RF功率具有比所述第一高频RF功率的功率密度低约0.21W/cm2至约0.35W/cm2的功率密度;(c)在不存在基板的情况下执行腔室清洁工艺;以及(d)重复(a)至(c)。
在另一个实现方式中,所述方法包括:(a)使用第一高频RF功率和第一低频RF功率在第一腔室压力下处理第一基板以在所述第一基板上沉积介电层;(b)在第二腔室压力下顺序地处理在所述第一基板之后的N个基板以在所述N个基板上沉积介电层,其中N是5至10的整数,并且其中处理N个基板中的每个基板包括使用第二高频RF功率和第二低频RF功率,其中所述第二高频RF功率具有比用于在紧接的前一个(immediate prior)基板上沉积所述介电层的所述第一高频RF功率的功率密度低约0.21W/cm2至约0.35W/cm2的功率密度;(c)在不存在基板的情况下执行腔室清洁工艺;以及(d)重复(a)至(c)。
在又一个实现方式中,所述方法包括处理第N基板,其中N是大于1的整数,所述处理第N基板包括:在第一腔室压力下使用第一高频RF功率和第一低频RF功率以在所述第N基板上沉积介电层的第一部分;在第二腔室压力下使用第二高频RF功率和第二低频RF功率在所述第N基板上沉积所述介电层的第二部分,其中所述第二高频RF功率具有比所述第一高频RF功率的功率密度低约0.21W/cm2至约0.35W/cm2的功率密度,并且所述第二腔室压力低于所述第一腔室压力;以及从所述处理腔室去除所述第N基板。在处理所述第N基板之后,处理第(N+1)基板,包括:在所述第二腔室压力下使用所述第二高频RF功率和所述第二低频RF功率以在所述第(N+1)基板上沉积介电层,其中所述第二高频RF功率具有比用于在所述第N基板上沉积所述介电层的所述第一部分的所述第一高频RF功率的功率密度低约0.21W/cm2至约0.35W/cm2的功率密度;以及从所述处理腔室移除所述第(N+1)基板,通过将处理腔室的温度维持在约550℃的第一温度下、然后在将清洁气体引入所述处理腔室中之前将所述处理腔室冷却长达约60秒来执行腔室清洁工艺。
附图简述
可以参考附图中描绘的本公开内容的说明性实现方式来理解本公开内容的以上简要地概述和以下更详细地描述的实现方式。然而,应当注意,附图仅示出了本公开内容的典型实现方式,并且因此不应当被视为对本公开内容的范围的限制,因为本公开内容可以允许其它等效实现方式。
图1描绘了根据本公开内容的实现方式的用于在基板上沉积介电层的示例性方法的流程图。
为了便于理解,已经尽可能地使用相同的附图标记指定各图共有的相同元件。附图未按比例绘制,并且为了清楚起见,可以将附图进行简化。可以设想,一个实现方式的要素和/或特征可以有益地并入其它实现方式,而不进一步叙述。
具体实施方式
图1描绘了根据本公开内容的实现方式的用于处理基板的方法100的流程图。方法100在框102处通过在处理腔室中处理第一基板开始。处理腔室可以是使用热和/或等离子体以增强工艺性能的任何合适的基板处理腔室,例如化学气相沉积(CVD)腔室或等离子体增强化学气相沉积(PECVD)腔室。处理腔室可以是单个处理腔室或具有两个反应空间的双腔室反应器,所述两个反应空间共用相同的空间或配备不同的气体入口和不同的RF系统。在一个示例性实现方式中,处理腔室是双腔室反应器,其中每个RF供电的处理腔室至少具有面板、基板支撑件和真空泵系统。面板是腔室盖的表面,其暴露于处理环境并面向基板支撑件。可有益于本公开内容的实施方式的一个合适的工艺腔室是可从加利福尼亚州圣克拉拉应用材料公司(Applied Materials,Inc.,Santa Clara.California)商购的
Figure BDA0002118586040000031
腔室。
第一基板的处理一般包括以下过程中的一个或多个。首先,稳定处理腔室以建立适于在基板上沉积介电层的工艺条件。稳定可以包括调整操作处理腔室以执行沉积所必需的工艺参数。工艺参数可以包括但不限于设置工艺条件,诸如腔室压力、电极间距、面板温度、基板支撑件温度等。例如,可以通过以下方式稳定处理腔室:泵吸处理腔室并将其维持到预定压力,将面板与基板之间的电极间距调整到第一电极间距,并且将基板支撑件的温度维持在约400℃至约650℃,例如约550℃。面板温度可以维持在约100℃至约300℃之间。
然后,通过温度受控面板将前驱物气体混合物提供到处理腔室。气体混合物可以是用于沉积硅(多晶硅或非晶硅)、氧化硅、氮化硅或氮氧化硅的任何合适的前驱物混合物。如果需要,可以包括掺杂剂前驱物,诸如硼化合物、磷化合物和/或砷化合物。以下流率范围适用于尺寸适于300mm基板的腔室。适当的缩放可以用于尺寸适于其它基板的腔室。可以以约20mgm至约5000mgm之间的流率提供原硅酸四乙酯(TEOS)。可以以约1000sccm至约20000sccm之间的流率提供氧前驱物,诸如N2O、O2、O3、H2O、CO或CO2。可以任选地以约20sccm至约2000sccm之间的流率提供硅前驱物(诸如硅烷)。可以以约200sccm至约50000sccm之间的流率提供氮前驱物,诸如N2、N2O、NH3或H2N2,或其取代的变体,或前述氮物质的任何混合物。可以包括碳前驱物(诸如烃,例如甲烷)以向此层添加碳。任选地,可以以约20sccm至约3000sccm之间的流率提供掺杂剂前驱物,诸如三甲基硼烷(TMB)、乙硼烷(B2H6)、膦(PH3)、胂(AsH3)和取代的膦和胂、或它们的混合物。这些前驱物可以由载气携带,或稀释在以约500sccm至约30000sccm之间的速率流动的稀释气体(诸如氦、氩、氮或氢,或它们的任何混合物)中。
在介电层是二氧化硅的一个示例性实现方式中,前驱物气体混合物包括TEOS、N2O和氩。在将前驱物气体供应到处理腔室中时,处理腔室维持在约5托与约10托之间的初始操作压力下,例如约8托。面板与基板之间的电极间距建立在约200密耳与2000密耳之间。基板支撑件的温度维持在约400℃至约650℃,例如约550℃。
此后,在处理腔室中从前驱物气体混合物形成等离子体以执行沉积工艺。等离子体可以通过电容或电感装置形成,并且可以通过将RF功率耦合到前驱物气体混合物中来激励。RF功率可以是单频RF功率或具有高频分量和低频分量的双频RF功率。RF功率典型地以约50W与约1500W之间的功率电平施加,这些功率可以都是高频RF功率(例如在约13.56MHz的频率下)或可以是约13.56MHz的高频功率与约350kHz的低频功率的混合。在一个示例性实现方式中,RF功率是以约130W至约200W的功率电平(例如,约170W)施加的高频功率和以约80W至约120W(例如,约100W)的功率电平施加的低频功率的混合。处理腔室维持在约5托与约10托之间的初始操作压力下,例如约8托。基板支撑件的温度维持在约400℃至约650℃,例如约550℃。在一些情况下,条件可以持续预定时间(例如,约90秒至约125秒,例如110秒)以沉积具有预定厚度(所述预定厚度为约1500埃至约10000埃,例如约2500埃至约5000埃)的介电层的第一部分。虽然本文讨论的工艺参数是沉积介电层的第一部分,但是这些工艺参数也可以用于沉积整个介电层。
在已沉积介电层的第一部分之后,通过切换以使用第一RF补偿配方来继续沉积工艺,所述第一RF补偿配方被配置为用于在第一基板上沉积介电层的第二部分。第一RF补偿配方使用比初始操作压力要低的第一操作压力,以及在约180W至约220W(例如,约203W)的功率电平下施加的频率为13.56MHz的高频RF功率与在约180W至约220W(例如,约200W)的功率电平下施加的频率为300kHz的低频RF功率的混合。第一操作压力可以比初始操作压力低至少约3托。在一种实现方式中,第一操作压力为约3托至约8托,例如约4.8托。基板支撑件的温度维持在约400℃至约650℃,例如约550℃。前驱物气体混合物继续流动以维持处理腔室中的等离子体。如果期望的话,可以以预定斜变速率引入前驱物气体混合物中的任何前驱物,以平滑介电层的第一部分和第二部分的沉积之间的过渡。条件持续预定时间(例如,约35秒至约90秒,例如60秒),直到介电层的第二部分达到预定厚度(其为约1000埃至约8000埃,例如约1200埃到约3000埃)。虽然本文讨论的第一RF补偿配方是沉积介电层的第二部分,但是这些工艺参数也可以用于沉积整个介电层。
在框104处,在介电层的第二部分已经沉积在第一基板上之后,可以通过关闭TEOS原位执行任选的等离子体处理,同时继续使前驱物气体混合物(例如,N2O和氩)的其余部分的流动和RF功率。在等离子体处理期间的高频RF功率可以被控制在约180W至约220W的功率电平,例如约203W,并且低频RF功率可以被控制在约180W至约220W的功率电平,例如约200W。等离子体处理可以执行约5秒至约15秒,例如约10秒。
在框106处,从处理腔室移除第一基板,并使用净化气体净化处理腔室。净化气体可以包括例如氮、氩、N2O或适于净化的其它惰性气体,以及这些气体的组合。在净化期间的工艺条件可以与前一步骤相同或类似,不同之处在于不使用RF功率(即,没有等离子体)。在一个实施方式中,净化在约20托的腔室压力下执行。净化时间为约2秒至约200秒,例如约5秒至约90秒。在一些情况下,净化在4.8托下执行约5秒。在一些情况下,净化是在节流阀在1托下完全打开约20秒的情况下执行。在一些情况下,净化在3托下执行约45秒。在一些情况下,净化在5托下执行约60秒或更长时间,例如约90秒。如果期望的话,可以在较高腔室压力(例如,约10托至约30托)下执行净化,以帮助从工艺腔室的面板和其它腔室部件的暴露的内表面去除氟化铝和其它不想要的残余物。在这种情况下,净化可在25托下执行约140秒。
净化气体可以以较高流率流动,以防止氟化铝蒸发到达处理腔室的面板。例如,净化气体可以以约4000sccm至约30000sccm的流率(诸如约8000sccm至约24000sccm,例如对于300mm等离子体处理腔室而言约10000至约20000sccm)被引入等离子体处理腔室中。在N2O和氩用于净化气体的一个示例中,N2O以第一体积流率流入处理腔室中,并且氩可以以第二体积流率流入处理腔室中,其中第一体积流率与第二体积流率的比率可以在0.5∶1与约1.2∶1之间,诸如约0.6∶1至约1∶1,例如约0.8∶1。
此后,任何反应残余物和/或不想要的气体通过真空泵系统泵出处理腔室。
在框108处,使用与上面的框102中描述的工艺相同的工艺顺序地处理在第一基板之后的N个基板,不同之处在于使用第二RF补偿配方在N个基板中的每个后续基板上沉积介电层。在一个实现方式中,N是范围在5和10之间的整数,诸如在6和8之间,例如7。在各种实施方式中,第二RF补偿配方基本上类似于第一RF补偿配方,不同之处在于第二RF补偿配方的高频RF功率具有比用于在紧接的前一个基板上沉积介电层的高频RF功率的功率密度低约0.21W/cm2至约0.35W/cm2的功率密度。然而,第二RF补偿配方的第二低频RF功率可以与第一RF补偿配方的第一低频RF功率相同或小于第一RF补偿配方的第一低频RF功率。
例如,一旦从处理腔室移除第一基板,就在处理腔室中设置第二基板,以用于在其上沉积介电层。对第二基板执行与上述的框102中所述的工艺相同的工艺,不同之处在于沉积工艺使用第二RF补偿配方在第二基板上沉积介电层。在这种情况下,使用比第一RF补偿配方的高频RF功率小约1.5W至约3W的高频RF功率来沉积介电层。
在一个示例性实现方式中,用于在第二基板上沉积介电层的第二部分的高频RF功率以约201.5W的功率电平施加。一旦第二基板完成沉积,从处理腔室移除第二基板,并且第三基板,并在处理腔室中设置第三基板,以在其上沉积介电层。对第三基板执行与上述的框102中所述的工艺相同的工艺,不同之处在于沉积工艺使用第二RF补偿配方在第三基板上沉积介电层。在这种情况下,使用比用于第二基板的第二RF补偿配方的高频RF功率小约1.5W至约3W的高频RF功率来沉积介电层。在一个示例性实现方式中,用于在第三基板上沉积介电层的高频RF功率以约200.0W的功率电平施加。此第二RF补偿配方可以继续应用于一批基板中的第四、第五、第六……基板,直到完全腔室清洁工艺(如下面将讨论)变得必要。可以每5个基板至20个基板(例如约7个基板至约12个基板)执行完全腔室清洁工艺,这可以取决于应用而变化。
在框110处,一旦处理预定数量的基板,就执行完全腔室清洁工艺以去除留在腔室壁或腔室部件的表面上的任何不想要的(一种或多种)材料。预定数量可以在5与15之间,例如7至10。或者,完全腔室清洁工艺可以以任何预定间隔执行,这取决于腔室条件和/或在处理腔室中处理的基板的数量。可以在沉积工艺之前、期间和/或之后执行完全腔室清洁工艺,并且在处理腔室中不存在基板的情况下进行。
完全腔室清洁工艺可以包括原位干法清洁工艺或远程等离子体源清洁工艺。在原位干法清洁工艺中,一种或多种气体在处理腔室内离解以形成一种或多种反应气体物质(例如,氟离子、自由基等)。反应物质可以有效地清除处理腔室中存在的氟化铝残余物。在远程等离子体源清洁中,如在此实现方式中所用,(一种或多种)清洁气体在单独腔室(例如,RPS腔室)中离解以形成一种或多种活性物质,所述一种或多种活性物质然后向下游流入处理腔室中以帮助腔室清洁。
清洁气体包括氟(F2和/或F)和/或氟自由基(F*)。作为这些物质的源,清洁气体可以包括全氟化氢或氢氟烃化合物,例如NF3、CF4、C2F6、CHF3、C3F8、C4F8、SF6,或它们的组合。任选地,清洁气体可以进一步包括惰性气体(诸如氦或氩)以帮助引发等离子体。在使用NF3前驱物气体的情况下,可以通过将NF3前驱物以约200sccm至约20000sccm之间的流率并在约1托至约20托(例如,约4托至约10托)的腔室压力下引入处理腔室中来执行清洁工艺。施加到RPS腔室以激活NF3前驱物气体的功率在约1000W和约10000W之间。电极间距保持在约200密耳至约900密耳。在完全腔室清洁工艺期间,处理腔室的温度维持在400℃至约550℃,例如约470℃至约520℃。清洁工艺的持续时间为约60秒至约240秒,例如90秒至约180秒。上述范围适用于尺寸适于300mm基板的腔室。适当的缩放可用于尺寸适于其它基板的腔室。
在一些实施方式中,完全腔室清洁工艺可以是两阶段式清洁。在这种情况下,将诸如NF3前驱物之类的清洁气体和诸如氩之类的其它气体引入处理腔室中以进行第一次清洁。可以使用上述参数执行第一次清洁,不同之处在于电极间距保持在约200密耳至约800密耳的第一间距,例如约600密耳。在执行第二次清洁之前,可以执行第一次清洁约10秒。在类似于第一次清洁的参数下,第二次清洁可以进行约20秒,不同之处在于电极间距保持在大于第一间距的第二间距,例如约900密耳至约1200密耳。以更宽的电极间距执行的第二次清洁可能是有益的,因为它减少了面板上的AlF积聚。然后,可在将清洁气体引入处理腔室中之前执行使用氩(和任选的氮)的腔室净化约20秒。
在一些实施方式中,完全腔室清洁工艺是冷却清洁,其方式为:通过将腔室温度维持在约550℃,然后在将清洁气体引入处理腔室中以开始上述清洁之前将处理腔室冷却约60秒。因此,在处理腔室冷却的同时,执行完全腔室清洁工艺。然后将处理腔室冷却到约520℃或更低的温度,诸如约500℃或更低,例如约480℃。在完全腔室清洁工艺之后,腔室温度开始再次升温到约550℃以准备后续的工艺。
在净化之前执行腔室调配工艺(下面将更详细地讨论)的情况下,净化气体和/或净化时间可以改变。例如,在腔室调配工艺之前的净化阶段期间使用的净化气体可以包括氩和氮,并且在腔室调配工艺之后的净化阶段期间使用的净化气体可以仅包括氩。在这种情况下,在腔室调配工艺之前的净化阶段期间的净化时间为约15秒至约30秒,例如约20秒,而在腔室调配工艺之后的净化阶段期间的净化时间为约3秒至约10秒,例如约5秒。
在框112处,通过将TEOS、氧和氦或氩引入处理腔室中来执行腔室调配工艺。由引入的气体形成等离子体以在腔室部件的暴露的内表面(例如,面板和/或腔室壁)上形成氧化物(例如,二氧化硅)调配层。调配层用作覆盖层,其防止氟化铝升华并到达面板和/或其它腔室部件(诸如,腔室壁)的暴露的内表面。预期的是,代替使用二氧化硅,也可以使用与沉积残余物(例如氟)进行化学反应和/或通过CVD或PECVD沉积的任何前驱物气体。本文讨论的完全腔室清洁工艺的任何实施方式可以根据需要重复多次,直到达到预定的腔室条件为止。
在一个实现方式中,通过将TEOS以800mgm至约2000mgm的速率(例如约1200mgm)引入处理腔室中并将其与以约50sccm至约3600sccm引入的氦或氩载气结合,执行腔室调配工艺。在一些情况下,使用硅烷代替TEOS。将氧(诸如N2O)以8000sccm至约20000sccm(例如,约11000sccm)引入处理腔室中。通过施加具有在590W下施加的高频分量(13.56MHz)和在220W下施加的低频分量(350KHz)的混合频率RF功率来形成等离子体。在腔室调配工艺期间处理腔室的温度维持在400℃至约550℃,例如约470℃。面板温度维持在约100℃至约300℃之间,例如200℃。腔室压力维持在约1托至约20托,例如约4.5托至约10托。腔室调配层具有的厚度为约1500埃至约20000埃,诸如约2000埃至约15000埃,例如约10000埃,这取决于处理的基板的数量。在腔室调配工艺之前处理7个基板的一些示例中,调配层可以具有约10000埃的厚度。虽然讨论的是二氧化硅,但是可以设想,腔室调配层可以包括非晶硅层,所述非晶硅层可以通过在处理腔室中使含氢气体与含硅气体反应来进行沉积。
在完成框112之后,方法100可以进行到结束,或者可以重复框102至112中的任何框,直到处理此批中的预定数量或所有基板。
本公开内容的益处包括一种使用RF补偿以实现多个基板的一致的膜均匀性的改进的清洁方法和沉积工艺。通过在一批基板上沉积介电层期间逐渐地降低高频RF功率,可以最小化膜性质漂移和清洁频率。例如,可以避免在处理腔室中的膜性质漂移(由于因AlF积聚造成的面板发射率变化)。消除气体、压力和RF功率的不受控制的斜变并消除向腔室切换的不必要的转向可以改进工艺稳定性。可以在预定数量的基板完成沉积之前、期间和/或之后执行改进的清洁工艺,以帮助从处理腔室的面板或其它腔室部件去除氟化铝和其它不想要的残余物。因此,面板和/或腔室部件的寿命得以延长。
尽管前述内容针对的是本公开内容的实现方式,但是在不脱离本公开内容的基本范围的情况下,可以设想本公开内容的其它和进一步实现方式。

Claims (20)

1.一种用于在处理腔室中处理基板的方法,包括:
(a)在第一腔室压力下使用第一RF功率在所述处理腔室中在第一基板上沉积介电层;
(b)从所述处理腔室移除所述第一基板;
(c)在移除所述第一基板之后,在第二腔室压力下在所述第一基板之后的N个基板上顺序地沉积介电层,其中N是5到10的整数,并且其中沉积N个基板中的每个基板包括使用第二RF功率,所述第二RF功率具有比所述第一RF功率的功率密度低0.21W/cm2至0.35W/cm2的功率密度;
(d)在不存在基板的情况下执行腔室清洁工艺;以及
(e)重复(a)至(d)。
2.如权利要求1所述的方法,其中所述第二腔室压力低于所述第一腔室压力。
3.如权利要求1所述的方法,其中所述腔室清洁工艺使用包括氟和/或氟自由基(F*)的清洁气体。
4.如权利要求3所述的方法,进一步包括:
在(d)之后,在设置在所述处理腔室的处理区域中的腔室部件的表面之上沉积调配层,其中所述调配层具有8000埃至20000埃的厚度。
5.如权利要求4所述的方法,其中所述调配层是二氧化硅或非晶硅。
6.如权利要求1所述的方法,其中在(a)和(c)期间将基板支撑件的温度维持在400℃至650℃。
7.如权利要求1所述的方法,其中在(d)期间将所述处理腔室维持在400℃至550℃的温度。
8.一种用于在处理腔室中处理基板的方法,包括:
(a)在第一腔室压力下使用第一RF功率在所述处理腔室中在第一基板上沉积介电层;
(b)在第二腔室压力下顺序地在所述第一基板之后的N个基板上沉积介电层,其中N是5至10的整数,并且其中沉积N个基板中的每个基板包括使用第二RF功率,所述第二RF功率具有比所述第一RF功率的功率密度低0.21W/cm2至0.35W/cm2的功率密度;
(c)在不存在基板的情况下执行腔室清洁工艺,其中所述腔室清洁工艺包括:第一清洁阶段,所述第一清洁阶段以200密耳至800密耳的第一电极间距被执行;以及第二清洁阶段,所述第二清洁阶段以900密尔至1200密耳的第二电极间距被执行;以及
(d)重复(a)至(c)。
9.一种用于在处理腔室中处理基板的方法,包括:
(a)使用第一高频RF功率和第一低频RF功率在所述处理腔室中在第一腔室压力下处理第一基板以在所述第一基板上沉积介电层;
(b)从所述处理腔室移除所述第一基板;
(c)在移除所述第一基板之后,在第二腔室压力下顺序地处理在所述第一基板之后的N个基板以在N个基板上沉积介电层,其中N是5至10的整数,并且其中处理N个基板中的每个基板包括使用第二高频RF功率和第二低频RF功率,其中所述第二高频RF功率具有比用于在紧接的前一个基板上沉积所述介电层的所述第一高频RF功率的功率密度低0.21W/cm2至0.35W/cm2的功率密度;
(d)在不存在基板的情况下执行腔室清洁工艺;以及
(e)重复(a)至(d),直至来自一批的所有基板都被处理为止。
10.如权利要求9所述的方法,其中所述第二低频RF功率与所述第一低频RF功率相同。
11.如权利要求9所述的方法,其中所述第二腔室压力低于所述第一腔室压力。
12.如权利要求9所述的方法,其中所述介电层使用由硅、氧化硅、氮化硅或氮氧化硅前驱物混合物形成的等离子体来沉积。
13.如权利要求9所述的方法,其中在(a)和(b)期间将基板支撑件的温度维持在400℃至650℃。
14.如权利要求9所述的方法,其中在(c)期间将所述处理腔室维持在400℃至550℃的温度。
15.一种用于在处理腔室中处理基板的方法,包括:
(a)使用第一高频RF功率和第一低频RF功率在所述处理腔室中在第一腔室压力下处理第一基板以在所述第一基板上沉积介电层;
(b)在第二腔室压力下顺序地处理在所述第一基板之后的N个基板以在N个基板上沉积介电层,其中N是5至10的整数,并且其中处理N个基板中的每个基板包括使用第二高频RF功率和第二低频RF功率,其中所述第二高频RF功率具有比用于在紧接的前一个基板上沉积所述介电层的所述第一高频RF功率的功率密度低0.21W/cm2至0.35W/cm2的功率密度;
(c)在不存在基板的情况下执行腔室清洁工艺,其中所述腔室清洁工艺包括:第一清洁阶段,所述第一清洁阶段在约10秒的持续时间内并以200密耳至800密耳的第一电极间距被执行;以及第二清洁阶段,所述第二清洁阶段在约20秒的持续时间内并以900密尔至1200密耳的第二电极间距被执行;以及
(d)重复(a)至(c),直至来自一批的所有基板都被处理为止。
16.如权利要求15所述的方法,进一步包括:
在所述腔室清洁工艺之后,在设置在所述处理腔室的处理区域中的腔室部件的表面之上沉积调配层,其中所述调配层具有8000埃至20000埃的厚度。
17.如权利要求16所述的方法,其中所述调配层是二氧化硅或非晶硅。
18.一种用于在处理腔室中处理基板的方法,包括:
处理第N基板,其中N是大于1的整数,所述处理第N基板包括:
在第一腔室压力下使用第一高频RF功率和第一低频RF功率以在所述第N基板上沉积介电层的第一部分;
在第二腔室压力下使用第二高频RF功率和第二低频RF功率以在所述第N基板上沉积所述介电层的第二部分,其中所述第二高频RF功率具有比所述第一高频RF功率的功率密度低0.21W/cm2至0.35W/cm2的功率密度,并且所述第二腔室压力低于所述第一腔室压力;以及
从所述处理腔室移除所述第N基板;
处理第(N+1)基板,包括:
在所述第二腔室压力下使用第二高频RF功率和第二低频RF功率在所述第(N+1)基板上沉积介电层,其中所述第二高频RF功率具有比用于在所述第N基板上沉积所述介电层的所述第一部分的所述第一高频RF功率的功率密度低0.21W/cm2至0.35W/cm2的功率密度;以及
从处理腔室中取出第(N+1)基板;以及
通过将所述处理腔室的温度维持在550℃的第一温度、然后在将清洁气体引入所述处理腔室中之前将所述处理腔室冷却60秒来执行腔室清洁工艺。
19.如权利要求18所述的方法,其中所述清洁气体包括氟和/或氟自由基(F*)。
20.如权利要求19所述的方法,进一步包括:
在执行所述腔室清洁工艺之后,在设置在所述处理腔室的处理区域中的腔室部件的表面之上沉积调配层,其中所述调配层具有8000埃至20000埃的厚度。
CN201780082344.3A 2016-12-16 2017-12-18 用于实现高温处理而没有腔室漂移的方法 Active CN110140193B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662435525P 2016-12-16 2016-12-16
US62/435,525 2016-12-16
PCT/US2017/067040 WO2018112463A1 (en) 2016-12-16 2017-12-18 Method to enable high temperature processing without chamber drifting

Publications (2)

Publication Number Publication Date
CN110140193A CN110140193A (zh) 2019-08-16
CN110140193B true CN110140193B (zh) 2023-04-14

Family

ID=62559387

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201780082344.3A Active CN110140193B (zh) 2016-12-16 2017-12-18 用于实现高温处理而没有腔室漂移的方法

Country Status (5)

Country Link
US (1) US11060189B2 (zh)
JP (1) JP2020502803A (zh)
KR (1) KR20190088079A (zh)
CN (1) CN110140193B (zh)
WO (1) WO2018112463A1 (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200058539A1 (en) * 2018-08-17 2020-02-20 Applied Materials, Inc. Coating material for processing chambers
CN114051541A (zh) * 2019-06-26 2022-02-15 朗姆研究公司 通过原位钝化室积累扩展
JP7454467B2 (ja) * 2020-08-03 2024-03-22 株式会社荏原製作所 基板処理システム、基板処理システムの制御装置及び基板処理システムの運転方法
US11572622B2 (en) 2020-09-14 2023-02-07 Applied Materials, Inc. Systems and methods for cleaning low-k deposition chambers
KR102587571B1 (ko) * 2020-11-10 2023-10-10 세메스 주식회사 부품 표면 처리 장치 및 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102414786A (zh) * 2009-04-28 2012-04-11 应用材料公司 在原位清洁后利用nh3净化对mocvd腔室进行去污染处理
CN103295865A (zh) * 2012-02-22 2013-09-11 朗姆研究公司 用于多频率rf 脉冲的频率增强阻抗依赖的功率控制
JP2014192484A (ja) * 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
CN105463408A (zh) * 2014-09-30 2016-04-06 朗姆研究公司 用于等离子体辅助原子层沉积中的rf补偿的方法和装置

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JP3118913B2 (ja) 1991-10-30 2000-12-18 ソニー株式会社 半導体装置の製造方法
JP3323764B2 (ja) * 1996-11-14 2002-09-09 東京エレクトロン株式会社 処理方法
JP4216003B2 (ja) * 2001-06-01 2009-01-28 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
KR100541195B1 (ko) * 2003-05-09 2006-01-11 주식회사 아이피에스 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치
JP6100047B2 (ja) * 2012-03-26 2017-03-22 株式会社アルバック 窒化ガリウム膜の形成方法、及び、窒化ガリウム膜の形成装置
JP2013239574A (ja) * 2012-05-15 2013-11-28 Tokyo Electron Ltd 太陽電池の製造方法及びプラズマ処理装置
JP2015070095A (ja) * 2013-09-27 2015-04-13 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9328416B2 (en) * 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9299558B2 (en) 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
US9263350B2 (en) 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102414786A (zh) * 2009-04-28 2012-04-11 应用材料公司 在原位清洁后利用nh3净化对mocvd腔室进行去污染处理
CN103295865A (zh) * 2012-02-22 2013-09-11 朗姆研究公司 用于多频率rf 脉冲的频率增强阻抗依赖的功率控制
JP2014192484A (ja) * 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
CN105463408A (zh) * 2014-09-30 2016-04-06 朗姆研究公司 用于等离子体辅助原子层沉积中的rf补偿的方法和装置

Also Published As

Publication number Publication date
WO2018112463A1 (en) 2018-06-21
US20200095677A1 (en) 2020-03-26
JP2020502803A (ja) 2020-01-23
CN110140193A (zh) 2019-08-16
KR20190088079A (ko) 2019-07-25
US11060189B2 (en) 2021-07-13

Similar Documents

Publication Publication Date Title
CN110140193B (zh) 用于实现高温处理而没有腔室漂移的方法
US11127589B2 (en) Method of topology-selective film formation of silicon oxide
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
KR102669793B1 (ko) 원자층 식각을 포함하는 연속 공정
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
US10595477B2 (en) Oxide with higher utilization and lower cost
JP5491170B2 (ja) エッチング方法
US7435684B1 (en) Resolving of fluorine loading effect in the vacuum chamber
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US20070107750A1 (en) Method of using NF3 for removing surface deposits from the interior of chemical vapor deposition chambers
TW201327672A (zh) 乾蝕刻製程
US10688538B2 (en) Aluminum fluoride mitigation by plasma treatment
JP3649650B2 (ja) 基板エッチング方法、半導体装置製造方法
US20200255940A1 (en) Method for cleaning process chamber
TW201233461A (en) Deposition chamber cleaning using in situ activation of molecular fluorine
JP2013541187A (ja) 分子状フッ素を用いる化学気相成長チャンバのクリーニング
EP2944385A1 (en) A process for etching and chamber cleaning and a gas therefor
JPH1098019A (ja) 表面清浄化方法
TW202147386A (zh) 藉由原位自觸媒形成的矽氧化物及矽氮化物材料之高產能乾式蝕刻
KR20220093499A (ko) F3no 가스를 이용한 반도체 및 디스플레이 화학기상 증착 챔버의 건식 세정 방법
CN116635570A (zh) 减轻应力引发的缺陷的碳cvd沉积方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant