KR20190088079A - 챔버 드리프팅 없이 고온 프로세싱을 가능하게 하는 방법 - Google Patents

챔버 드리프팅 없이 고온 프로세싱을 가능하게 하는 방법 Download PDF

Info

Publication number
KR20190088079A
KR20190088079A KR1020197020592A KR20197020592A KR20190088079A KR 20190088079 A KR20190088079 A KR 20190088079A KR 1020197020592 A KR1020197020592 A KR 1020197020592A KR 20197020592 A KR20197020592 A KR 20197020592A KR 20190088079 A KR20190088079 A KR 20190088079A
Authority
KR
South Korea
Prior art keywords
processing
chamber
power
substrates
substrate
Prior art date
Application number
KR1020197020592A
Other languages
English (en)
Inventor
마이클 웬영 치앙
프라켓 피. 자
디네쉬 파디
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20190088079A publication Critical patent/KR20190088079A/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Plasma & Fusion (AREA)
  • Organic Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Epidemiology (AREA)
  • Health & Medical Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Public Health (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 개시내용의 구현들은 프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법들을 제공한다. 일 구현에서, 방법은 (a) 제1 고-주파수 RF 전력을 사용하여 제1 챔버 압력에서 제1 기판 상에 유전체 층을 증착하는 단계, (b) 제2 챔버 압력에서 제1 기판에 후속하는 N 개의 기판들 상에 유전체 층을 순차적으로 증착하는 단계 ―여기서, N은 5 내지 10의 정수이고, N 개의 기판들의 각각의 기판 상에 유전체 층을 증착하는 것은 제1 고-주파수 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 갖는 제2 고-주파수 RF 전력을 사용하는 것을 포함함―, (c) 기판의 존재 없이, 챔버 세정 프로세스를 수행하는 단계, 및 (d) (a) 내지 (c)를 반복하는 단계를 포함한다.

Description

챔버 드리프팅 없이 고온 프로세싱을 가능하게 하는 방법
[0001] 본 개시내용의 구현들은 일반적으로, 증착 균일성을 개선시키기 위해 프로세스 챔버를 처리하기 위한 개선된 방법들에 관한 것이다.
[0002] 반도체 산업에서의 플라즈마 반응기들은 종종 알루미늄-함유 재료들로 제조된다. 특히, 폴리 실리콘, 금속 또는 옥사이드 증착 챔버에서, 플루오린 함유 가스들, 이를테면 NF3 또는 CF4가 화학물질로서 사용될 때, 알루미늄 표면들 상에 알루미늄 플루오라이드 층이 형성될 수 있다. 알루미늄 플루오라이드의 형성은 섭씨 480 도를 초과하는 상당한 증기압을 가지며, 이 온도에서 승화(subliming)를 시작한다는 것이 관찰되었다. 그런 다음, 알루미늄 플루오라이드는 챔버 구성요소, 이를테면 프로세스 챔버의 페이스플레이트(faceplate)에 수송된다. 알루미늄 플루오라이드는 응축되고 페이스플레이트 상에 층을 형성하며, 이 층은 챔버에서의 후속하는 프로세스 동안 박리(flake off)되어 입자들로 기판 표면을 오염시킬 수 있다. 알루미늄 플루오라이드는 제거하기가 어렵고, 페이스플레이트가 오염된 후에는, 페이스플레이트 및/또는 진공 챔버의 인-시츄(in-situ) 세정을 제공하는 알려진 방법들이 없다. 그 결과, 진공 챔버 내에서의 증착 레이트들은 드리프팅되고 챔버는 불안정해진다.
[0003] 그러므로, 기술분야에서는, 프로세싱 동안 증착 레이트 드리프팅 및 기판 표면 상의 알루미늄 플루오라이드 오염의 가능성이 최소화되거나 또는 방지되도록, 프로세스 챔버를 세정하는 개선된 프로세스를 제공할 필요가 있다.
[0004] 본 개시내용의 구현들은 프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법들을 제공한다. 일 구현에서, 방법은 (a) 제1 고-주파수 RF 전력을 사용하여 제1 챔버 압력에서 제1 기판 상에 유전체 층을 증착하는 단계, (b) 제2 챔버 압력에서 제1 기판에 후속하는 N 개의 기판들 상에 유전체 층을 순차적으로 증착하는 단계 ―여기서, N은 5 내지 10의 정수이고, N 개의 기판들의 각각의 기판 상에 유전체 층을 증착하는 것은 제1 고-주파수 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 갖는 제2 고-주파수 RF 전력을 사용하는 것을 포함함―, (c) 기판의 존재 없이, 챔버 세정 프로세스를 수행하는 단계, 및 (d) (a) 내지 (c)를 반복하는 단계를 포함한다.
[0005] 다른 구현에서, 방법은 (a) 제1 기판 상에 유전체 층을 증착하기 위해 제1 고-주파수 RF 전력 및 제1 저-주파수 RF 전력을 사용하여 제1 챔버 압력에서 제1 기판을 프로세싱하는 단계, (b) 제1 기판에 후속하는 N 개의 기판들 상에 유전체 층을 증착하기 위해 제2 챔버 압력에서 N 개의 기판들을 순차적으로 프로세싱하는 단계 ―여기서, N은 5 내지 10의 정수이고, N 개의 기판들의 각각의 기판을 프로세싱하는 것은 제2 고-주파수 RF 전력 및 제2 저-주파수 RF 전력을 사용하는 것을 포함하며, 제2 고-주파수 RF 전력은 직전 기판 상에의 유전체 층의 증착에 사용된 제1 고-주파수 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 가짐―, (c) 기판의 존재 없이, 챔버 세정 프로세스를 수행하는 단계, 및 (d) (a) 내지 (c)를 반복하는 단계를 포함한다.
[0006] 또 다른 구현에서, 방법은 N 번째 기판을 프로세싱하는 단계를 포함하며, 여기서, N은 1보다 더 큰 정수이며, N 번째 기판을 프로세싱하는 단계는, N 번째 기판 상에 유전체 층의 제1 부분을 증착하기 위해 제1 챔버 압력에서 제1 고-주파수 RF 전력 및 제1 저-주파수 RF 전력을 사용하는 단계, N 번째 기판 상에 유전체 층의 제2 부분을 증착하기 위해 제2 챔버 압력에서 제2 고-주파수 RF 전력 및 제2 저-주파수 RF 전력을 사용하는 단계 ―여기서, 제2 고-주파수 RF 전력은 제1 고-주파수 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 가지며, 제2 챔버 압력은 제1 챔버 압력보다 더 낮음―, 및 프로세싱 챔버로부터 N 번째 기판을 제거하는 단계를 포함한다. 방법은, N 번째 기판을 프로세싱한 후에, (N+1) 번째 기판을 프로세싱하는 단계, 및 프로세싱 챔버의 온도를 약 550 ℃의 제1 온도로 유지시키고, 그런 다음, 세정 가스가 프로세싱 챔버에 유입되기 전에 약 60 초 동안 프로세싱 챔버를 냉각시킴으로써, 챔버 세정 프로세스를 수행하는 단계를 제공하며, (N+1) 번째 기판을 프로세싱하는 단계는, (N+1) 번째 기판 상에 유전체 층을 증착하기 위해 제2 챔버 압력에서 제2 고-주파수 RF 전력 및 제2 저-주파수 RF 전력을 사용하는 단계 ―여기서, 제2 고-주파수 RF 전력은 N 번째 기판 상에의 유전체 층의 제1 부분의 증착에 사용된 제1 고-주파수 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 가짐―, 및 프로세싱 챔버로부터 (N+1) 번째 기판을 제거하는 단계를 포함한다.
[0007] 위에서 간략히 요약되며 아래에서 더욱 상세히 논의된 본 개시내용의 구현들은, 첨부된 도면들에서 묘사된 본 개시내용의 예시적인 구현들을 참조하여 이해될 수 있다. 그러나, 첨부된 도면들은 본 개시내용의 단지 통상적인 구현들을 예시하는 것이며 그러므로 본 개시내용의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 개시내용이 다른 균등하게 유효한 구현들을 허용할 수 있기 때문이다.
[0008] 도 1은 본 개시내용의 구현들에 따른, 기판들 상에 유전체 층을 증착하기 위한 예시적인 방법의 흐름도를 묘사한다.
[0009] 이해를 용이하게 하기 위해, 도면들에 공통인 동일한 엘리먼트들을 표기하기 위해 가능한 경우 동일한 참조 부호들이 사용되었다. 도면들은 실척 대로 그려지지 않으며, 명확성을 위해 단순화될 수 있다. 하나의 구현의 엘리먼트들 및 특징들이 추가적인 언급 없이 다른 구현들에 유익하게 통합될 수 있다는 것이 고려된다.
[0010] 도 1은 본 개시내용의 구현들에 따른, 기판들을 프로세싱하기 위한 방법(100)의 흐름도를 묘사한다. 방법(100)은, 블록(102)에서, 프로세싱 챔버에서 제1 기판을 프로세싱함으로써 시작된다. 프로세싱 챔버는, 프로세스의 성능을 향상시키기 위해 열 및/또는 플라즈마를 사용하는 임의의 적절한 기판 프로세싱 챔버, 예컨대 화학 기상 증착(CVD; chemical vapor deposition) 챔버 또는 플라즈마-강화 화학 기상 증착(PECVD; plasma-enhanced chemical vapor deposition) 챔버일 수 있다. 프로세싱 챔버는 단일 프로세싱 챔버일 수 있거나, 또는 동일한 가스 입구 및 RF 시스템을 공유하거나 또는 상이한 가스 입구 및 상이한 RF 시스템들을 갖추는 2 개의 반응 공간들을 갖는 이중-챔버 반응기일 수 있다. 하나의 예시적인 구현에서, 프로세싱 챔버는, 각각의 RF 동력 프로세싱 챔버가 적어도 페이스 플레이트, 기판 지지부 및 진공 펌프 시스템을 갖는 이중-챔버 반응기이다. 페이스 플레이트는, 프로세싱 환경에 노출되고 기판 지지부를 향하는, 챔버 리드의 표면이다. 본 개시내용의 실시예들에 유익할 수 있는 하나의 적절한 프로세스 챔버는, 캘리포니아주 산타 클라라의 어플라이드 머티어리얼스사로부터 상업적으로 입수가능한 Precision® 챔버이다.
[0011] 제1 기판의 프로세싱은 일반적으로, 다음의 절차들 중 하나 이상을 포함한다. 첫째, 프로세싱 챔버는 기판 상에 유전체 층을 증착시키기에 적절한 프로세스 조건을 설정하도록 안정화된다. 안정화는, 증착을 수행하기 위해 프로세싱 챔버를 동작시키는 데 필요한 프로세스 파라미터들을 조정하는 것을 포함할 수 있다. 프로세스 파라미터들은 프로세스 조건들, 이를테면 챔버 압력, 전극 간격, 페이스 플레이트 온도, 기판 지지부 온도 등을 셋업하는 것을 포함(그러나, 이에 제한되지 않음)할 수 있다. 예컨대, 프로세싱 챔버를 미리 결정된 압력으로 펌핑 및 유지하고, 페이스 플레이트와 기판 사이의 전극 간격을 제1 전극 간격으로 조정하며, 그리고 기판 지지부의 온도를 약 400 ℃ 내지 약 650 ℃, 예컨대 약 550 ℃로 유지함으로써, 프로세싱 챔버가 안정화될 수 있다. 페이스 플레이트 온도는 약 100 ℃ 내지 약 300 ℃로 유지될 수 있다.
[0012] 그런 다음, 온도 제어 페이스 플레이트를 통해 프로세싱 챔버에 전구체 가스 혼합물이 제공된다. 가스 혼합물은 실리콘(폴리실리콘 또는 비정질 실리콘), 실리콘 옥사이드, 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드를 증착하기 위해 사용되는 임의의 적절한 전구체 혼합물일 수 있다. 도펀트 전구체들, 이를테면 붕소 화합물들, 인 화합물들 및/또는 비소 화합물들이 필요하다면 포함될 수 있다. 다음의 유량 범위들은 300 mm 기판들을 위해 크기가 정해진 챔버에 적용된다. 적절한 스케일링이 다른 기판들을 위해 크기가 정해진 챔버들에 사용될 수 있다. 테트라에틸 오르토실리케이트(TEOS; Tetraethyl Orthosilicate)가 약 20 mgm 내지 약 5,000 mgm의 유량으로 제공될 수 있다. 산소 전구체, 이를테면 N2O, O2, O3, H2O, CO 또는 CO2가 약 1,000 sccm 내지 약 20,000 sccm의 유량으로 제공될 수 있다. 실리콘 전구체(이를테면, 실란)가 약 20 sccm 내지 약 2,000 sccm의 유량으로 선택적으로 제공될 수 있다. 질소 전구체, 이를테면 N2, N2O, NH3 또는 H2N2, 또는 이의 치환된 변형물(substituted variant), 또는 전술된 질소 종(species)의 임의의 혼합물이 약 200 sccm 내지 약 50,000 sccm의 유량으로 제공될 수 있다. 층에 탄소를 부가하기 위해 탄소 전구체(이를테면, 탄화수소, 예컨대, 메탄)가 포함될 수 있다. 선택적으로, 도펀트 전구체들, 이를테면 트리메틸보란(TMB; trimethylborane), 디보란(B2H6), 포스핀(PH3), 아르신(AsH3), 및 치환된 포스핀들 및 아르신들, 또는 이들의 혼합물들이 약 20 sccm 내지 약 3,000 sccm의 유량들로 제공될 수 있다. 이들 전구체들은 캐리어 가스에 의해 운반될 수 있거나, 또는 약 500 sccm 내지 약 30,000 sccm의 레이트로 유동하는 희석 가스, 예컨대 헬륨, 아르곤, 질소 또는 수소, 또는 이들의 임의의 혼합물에 희석될 수 있다.
[0013] 유전체 층이 실리콘 디옥사이드인 하나의 예시적인 구현에서, 전구체 가스 혼합물은 TEOS, N2O 및 아르곤을 포함한다. 프로세싱 챔버에 전구체 가스들을 공급하는 동안, 프로세싱 챔버는 약 5 Torr 내지 약 10 Torr, 예컨대 약 8 Torr의 초기 동작 압력으로 유지된다. 페이스 플레이트와 기판 사이의 전극 간격은 약 200 mils 내지 2,000 mils로 설정된다. 기판 지지부의 온도는 약 400 ℃ 내지 약 650 ℃, 예컨대 약 550 ℃로 유지된다.
[0014] 그 후에, 증착 프로세스를 수행하기 위해 프로세싱 챔버에서 전구체 가스 혼합물로부터 플라즈마가 형성된다. 플라즈마는, 용량성 또는 유도성 수단에 의해 형성될 수 있으며, RF 전력을 전구체 가스 혼합물에 커플링함으로써 에너지를 공급받을 수 있다. RF 전력은 단일 주파수 RF 전력, 또는 고주파수 성분 및 저주파수 성분을 갖는 이중-주파수 RF 전력일 수 있다. RF 전력은 통상적으로, 예컨대 약 13.56 MHz의 주파수에서 전부 고-주파수 RF 전력일 수 있는 약 50 W 내지 약 1500 W의 전력 레벨로 인가되거나, 또는 약 13.56 MHz의 고-주파수 전력과 약 350 kHz의 주파수에서의 저주파수 전력의 혼합일 수 있다. 하나의 예시적인 구현에서, RF 전력은 약 130 W 내지 약 200 W, 예컨대 약 170W의 전력 레벨로 인가하는 고-주파수 전력과, 약 80 W 내지 약 120 W, 예컨대 약 100 W의 전력 레벨로 인가하는 저주파수 전력의 혼합이다. 프로세싱 챔버는 약 5 Torr 내지 약 10 Torr, 예컨대 약 8 Torr의 초기 동작 압력으로 유지된다. 기판 지지부의 온도는 약 400 ℃ 내지 약 650 ℃, 예컨대 약 550 ℃로 유지된다. 일부 경우들에서, 약 1,500 옹스트롬 내지 약 10,000 옹스트롬, 예컨대 약 2,500 옹스트롬 내지 약 5,000 옹스트롬일 수 있는 미리 결정된 두께를 갖는 유전체 층의 제1 부분을 증착하기 위해, 미리 결정된 시간(예컨대, 약 90 초 내지 약 125 초, 예컨대 110 초) 동안, 조건들은 계속될 수 있다. 본원에서 논의된 프로세스 파라미터들은 유전체 층의 제1 부분을 증착하기 위한 것이지만, 이들 프로세스 파라미터들은 또한, 전체 유전체 층을 증착하기 위해 사용될 수 있다.
[0015] 유전체 층의 제1 부분이 증착된 후에, 제1 기판 상에 유전체 층의 제2 부분을 증착하도록 구성된 제1 RF 보상 레시피를 사용하도록 스위칭함으로써, 증착 프로세스가 계속된다. 제1 RF 보상 레시피는 초기 동작 압력보다 더 낮은 제1 동작 압력, 그리고 약 180 W 내지 약 220 W, 예컨대 약 203 W의 전력 레벨로 인가되는 13.56 MHz의 주파수에서의 고-주파수 RF 전력과, 약 180 W 내지 약 220 W, 예컨대 약 200 W의 전력 레벨로 인가되는 300 kHz의 주파수에서의 저-주파수 RF 전력의 혼합을 사용한다. 제1 동작 압력은 초기 동작 압력보다 적어도 약 3 Torr 더 낮을 수 있다. 하나의 구현에서, 제1 동작 압력은 약 3 Torr 내지 약 8 Torr, 예컨대 약 4.8 Torr이다. 기판 지지부의 온도는 약 400 ℃ 내지 약 650 ℃, 예컨대 약 550 ℃로 유지된다. 전구체 가스 혼합물의 유동은 프로세싱 챔버에서 플라즈마를 유지하도록 계속된다. 원한다면, 전구체 가스 혼합물의 전구체들 중 임의의 전구체가 유전체 층의 제1 부분의 증착과 제2 부분의 증착 사이의 전이(transition)를 원활하게 하기 위해 미리 결정된 램핑(ramping) 레이트로 유입될 수 있다. 유전체 층의 제2 부분이, 약 1,000 옹스트롬 내지 약 8,000 옹스트롬, 예컨대 약 1,200 옹스트롬 내지 약 3,000 옹스트롬인 미리 결정된 두께에 도달할 때까지, 미리 결정된 시간(예컨대, 약 35 초 내지 약 90 초, 예컨대 60 초) 동안, 조건들은 계속된다. 본원에서 논의된 제1 RF 보상 레시피는 유전체 층의 제2 부분을 증착하기 위한 것이지만, 이들 프로세스 파라미터들은 또한, 전체 유전체 층을 증착하기 위해 사용될 수 있다.
[0016] 블록(104)에서, 제1 기판 상에 유전체 층의 제2 부분이 증착된 후에, RF 전력 및 전구체 가스 혼합물의 나머지(예컨대, N2O 및 아르곤)의 유동을 계속하면서 TEOS를 턴 오프함으로써, 선택적인 플라즈마 처리가 인 시츄(in situ)로 수행될 수 있다. 플라즈마 처리 동안의 고-주파수 RF 전력은 약 180 W 내지 약 220 W, 예컨대 약 203 W의 전력 레벨, 및 약 180 W 내지 약 220 W, 예컨대 약 200 W의 전력 레벨의 저-주파수 RF 전력으로 제어될 수 있다. 플라즈마 처리는 약 5 초 내지 약 15 초, 예컨대 약 10 초 동안 수행될 수 있다.
[0017] 블록(106)에서, 프로세싱 챔버로부터 제1 기판이 제거되고, 퍼징 가스를 사용하여 프로세싱 챔버가 퍼징된다. 퍼징 가스는 예컨대 질소, 아르곤, N2O, 또는 퍼징에 적절한 다른 불활성 가스들 뿐만 아니라, 이러한 가스들의 조합들을 포함할 수 있다. 퍼징 동안의 프로세스 조건들은, RF 전력이 사용되지 않는다는 점(즉, 플라즈마가 없다는 점)을 제외하고는, 이전 단계와 동일하거나 또는 유사할 수 있다. 일 실시예에서, 퍼징은 약 20 Torr의 챔버 압력에서 수행된다. 퍼징 시간은 약 2 초 내지 약 200 초, 예컨대 약 5 초 내지 약 90 초이다. 일부 경우들에서, 퍼징은 약 5 초 동안 4.8 Torr에서 수행된다. 일부 경우들에서, 퍼징은 약 20 초 동안 1 Torr에서 완전히 개방되는 스로틀 밸브를 이용하여 수행된다. 일부 경우들에서, 퍼징은 약 45 초 동안 3 Torr에서 수행된다. 일부 경우들에서, 퍼징은 약 60 초 이상, 예컨대 약 90 초 동안 5 Torr에서 수행된다. 원한다면, 프로세스 챔버의 페이스플레이트 및 다른 챔버 구성요소들의 노출된 내부 표면들로부터 알루미늄 플루오라이드 및 다른 원하지 않는 잔류물들의 제거를 보조하기 위해, 퍼징은 더 높은 챔버 압력(예컨대, 약 10 Torr 내지 약 30 Torr)에서 수행될 수 있다. 이러한 경우들에서, 퍼징은 약 140 초 동안 25 Torr에서 수행될 수 있다.
[0018] 알루미늄 플루오라이드의 기화가 프로세싱 챔버의 페이스플레이트에 도달하는 것을 방지하기 위해, 퍼징 가스는 더 높은 유량으로 유동될 수 있다. 예컨대, 300 mm 플라즈마 프로세싱 챔버의 경우, 퍼징 가스는 약 4,000 sccm 내지 약 30,000 sccm, 이를테면 약 8,000 sccm 내지 약 24,000 sccm, 예컨대 약 10,000 내지 약 20,000 sccm의 유량으로 플라즈마 프로세싱 챔버에 유입될 수 있다. N2O 및 아르곤이 퍼징 가스에 사용되는 하나의 예에서, N2O는 제1 체적 유량으로 프로세싱 챔버에 유동되고, 아르곤은 제2 체적 유량으로 프로세싱 챔버에 유동될 수 있으며, 여기서, 제1 체적 유량 대 제2 체적 유량의 비(ratio)는 0.5:1 내지 약 1.2:1, 이를테면 약 0.6:1 내지 약 1:1, 예컨대 약 0.8:1일 수 있다.
[0019] 그 후에, 임의의 반응 잔류물들 및/또는 원하지 않는 가스들이 진공 펌프 시스템을 통해 프로세싱 챔버 밖으로 펌핑된다.
[0020] 블록(108)에서, 제1 기판에 후속하는 N 개의 기판들은, N 개의 기판들의 각각의 후속하는 기판 상에 유전체 층을 증착하기 위해 제2 RF 보상 레시피가 사용된다는 점을 제외하고는 위의 블록(102)에서 설명된 프로세스들과 동일한 프로세스들을 사용하여, 순차적으로 프로세싱된다. 하나의 구현에서, N은 5 내지 10, 이를테면 6 내지 8의 범위의 정수, 예컨대 7이다. 다양한 실시예들에서, 제2 RF 보상 레시피의 고-주파수 RF 전력이, 직전 기판 상에의 유전체 층의 증착에 사용된 고-주파수 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 갖는다는 점을 제외하고는, 제2 RF 보상 레시피는 제1 RF 보상 레시피와 실질적으로 유사하다. 그러나, 제2 RF 보상 레시피의 제2 저-주파수 RF 전력은 제1 RF 보상 레시피의 제1 저-주파수 RF 전력과 동일하거나 또는 그보다 더 작을 수 있다.
[0021] 예컨대, 일단 프로세싱 챔버로부터 제1 기판이 제거되었다면, 제2 기판 상에의 유전체 층의 증착을 위해 제2 기판이 프로세싱 챔버에 배치된다. 제2 기판은, 제2 기판 상에 유전체 층을 증착하기 위해 증착 프로세스가 제2 RF 보상 레시피를 사용한다는 점을 제외하고는 위의 블록(102)에서 설명된 프로세스들과 동일한 프로세스들을 겪는다. 이 경우, 제1 RF 보상 레시피의 고-주파수 RF 전력보다 약 1.5 W 내지 약 3 W 더 낮은 고-주파수 RF 전력을 사용하여 유전체 층이 증착된다.
[0022] 하나의 예시적인 구현에서, 제2 기판 상에 유전체 층의 제2 부분을 증착하기 위해 사용되는 고-주파수 RF 전력은 약 201.5 W의 전력 레벨로 인가된다. 일단 제2 기판이 증착으로 완료되면, 프로세싱 챔버로부터 제2 기판은 제거되며, 제3 기판 상에의 유전체 층의 증착을 위해 제3 기판이 프로세싱 챔버에 배치된다. 제3 기판은, 제3 기판 상에 유전체 층을 증착하기 위해 증착 프로세스가 제2 RF 보상 레시피를 사용한다는 점을 제외하고는 위의 블록(102)에서 설명된 프로세스들과 동일한 프로세스들을 겪는다. 이 경우, 제2 기판에 사용된 제2 RF 보상 레시피의 고-주파수 RF 전력보다 약 1.5 W 내지 약 3 W 더 낮은 고-주파수 RF 전력을 사용하여 유전체 층이 증착된다. 하나의 예시적인 구현에서, 제3 기판 상에 유전체 층을 증착하기 위해 사용되는 고-주파수 RF 전력은 약 200.0 W의 전력 레벨로 인가된다. 이러한 제2 RF 보상 레시피는, (아래에서 논의될) 전체 챔버 세정 프로세스가 필요해질 때까지 기판들의 배치의 제4, 제5, 제6... 기판들에 계속 적용될 수 있다. 전체 챔버 세정 프로세스는 5 개의 기판들 내지 20 개의 기판들, 예컨대 약 7 개의 기판들 내지 약 12 개의 기판들마다 수행될 수 있으며, 이는 애플리케이션에 따라 변할 수 있다.
[0023] 블록(110)에서, 일단 미리 결정된 수의 기판들이 프로세싱되었다면, 챔버 구성요소들의 챔버 벽들 또는 표면들 상에 남아 있는 임의의 원하지 않는 재료(들)를 제거하기 위해 전체 챔버 세정 프로세스가 수행된다. 미리 정의된 수는 5 내지 15, 예컨대 7 내지 10일 수 있다. 대안적으로, 전체 챔버 세정 프로세스는 프로세싱 챔버에서 프로세싱되는 기판들의 수 및/또는 챔버 조건들에 따라 임의의 미리 결정된 간격으로 수행될 수 있다. 전체 챔버 세정 프로세스는 증착 프로세스 전에, 증착 프로세스 동안 그리고/또는 증착 프로세스 후에 수행될 수 있으며, 프로세싱 챔버 내의 기판의 존재 없이 수행된다.
[0024] 전체 챔버 세정 프로세스는 인-시츄 건식 세정 프로세스 또는 원격 플라즈마 소스 세정을 포함할 수 있다. 인-시츄 건식 세정 프로세스에서, 하나 이상의 가스들이 프로세싱 챔버 내에서 해리되어 하나 이상의 반응 가스 종들(예컨대, 플루오린 이온들, 라디칼들 등)이 형성된다. 반응 종들은 프로세싱 챔버에 존재하는 알루미늄 플루오라이드 잔류물들을 포집(scavenging)하는 데 효과적이다. 이러한 구현에서 사용되는 원격 플라즈마 소스 세정에서, 세정 가스(들)가 별개의 챔버(예컨대, RPS 챔버)에서 해리되어 하나 이상의 반응 가스 종들이 형성되며, 그런 다음, 이러한 하나 이상의 반응 가스 종들은, 챔버 세정을 보조하기 위해 하류로 프로세싱 챔버에 유동된다.
[0025] 세정 가스는 플루오린(F2 및/또는 F) 및/또는 플루오린 라디칼들(F*)을 포함한다. 이들 종들의 소스로서, 세정 가스는 퍼플루오르화(perfluorinated) 또는 하이드로플루오로카본 화합물, 예컨대 NF3, CF4, C2F6, CHF3, C3F8, C4F8, SF6 또는 이들의 조합을 포함할 수 있다. 선택적으로, 세정 가스는 플라즈마를 개시하는 것을 돕기 위해 불활성 가스, 이를테면 헬륨 또는 아르곤을 더 포함할 수 있다. NF3 전구체 가스가 사용되는 경우들에서, 약 200 sccm 내지 약 20,000 sccm의 유량으로 그리고 약 1 Torr 내지 약 20 Torr, 예컨대 약 4 Torr 내지 약 10 Torr의 챔버 압력으로 프로세싱 챔버에 NF3 전구체를 유입시킴으로써, 세정 프로세스가 수행될 수 있다. NF3 전구체 가스를 활성화시키기 위해 RPS 챔버에 인가되는 전력은 약 1000 W 내지 약 10,000 W이다. 전극 간격은 약 200 mils 내지 약 900 mils로 유지된다. 전체 챔버 세정 프로세스 동안 프로세싱 챔버의 온도는 400 ℃ 내지 약 550 ℃, 예컨대 약 470 ℃ 내지 약 520 ℃로 유지된다. 세정 프로세스의 지속기간은 약 60 초 내지 약 240 초, 예컨대 90 초 내지 약 180 초이다. 위의 범위들은 300 mm 기판들을 위해 크기가 정해진 챔버에 적용된다. 적절한 스케일링이 다른 기판들을 위해 크기가 정해진 챔버들에 사용될 수 있다.
[0026] 일부 실시예들에서, 전체 챔버 세정 프로세스는 2-스테이지 세정일 수 있다. 이러한 경우, 제1 세정을 수행하기 위해 세정 가스, 이를테면 NF3 전구체 및 다른 가스들, 이를테면 아르곤이 프로세싱 챔버에 유입된다. 제1 세정은, 전극 간격이 약 200 mils 내지 약 800 mils, 예컨대 약 600 mils의 제1 간격으로 유지된다는 점을 제외하고는, 위에서 언급된 파라미터들을 사용하여 수행될 수 있다. 제1 세정은, 제2 세정이 수행되기 전에 약 10 초 동안 수행될 수 있다. 제2 세정은, 전극 간격이 제1 간격보다 더 큰 제2 간격, 예컨대 약 900 mils 내지 약 1200 mils로 유지된다는 점을 제외하고는, 제1 세정과 유사한 파라미터들 하에서 약 20 초 동안 수행될 수 있다. 더 넓은 전극 간격으로 수행되는 제2 세정은, 이러한 제2 세정이 페이스플레이트 상의 AlF 축적을 감소시키기 때문에 유용할 수 있다. 그런 다음, 세정 가스가 프로세싱 챔버에 유입되기 전에, 아르곤(및 선택적으로 질소)을 사용하는 챔버 퍼징이 약 20 초 동안 수행될 수 있다.
[0027] 일부 실시예들에서, 전체 챔버 세정 프로세스는 챔버 온도를 약 550 ℃로 유지시키는 냉각 세정(cool cleaning)이며, 그런 다음, 위에서 언급된 세정을 시작하기 위해, 세정 가스가 프로세싱 챔버에 유입되기 전에, 프로세싱 챔버는 약 60 초 동안 냉각된다. 그러므로, 전체 챔버 세정 프로세스는 프로세싱 챔버가 냉각되는 동안 수행된다. 그런 다음, 프로세싱 챔버는 약 520 ℃ 이하, 이를테면 약 500 ℃ 이하, 예컨대 약 480 ℃의 온도로 냉각된다. 전체 챔버 세정 프로세스 후에, 챔버 온도는 후속하는 프로세스들의 준비를 위해 다시 약 550 ℃로 다시 가열되기 시작한다.
[0028] (더욱 상세히 아래에서 논의될) 챔버 시즈닝 프로세스가 퍼징 이전에 수행된 경우들에서, 퍼징 가스 및/또는 퍼징 시간은 변화할 수 있다. 예컨대, 챔버 시즈닝 프로세스 전의 퍼징 스테이지 동안 사용되는 퍼징 가스는 아르곤 및 질소 가스를 포함할 수 있고, 챔버 시즈닝 프로세스 후의 퍼징 스테이지 동안 사용되는 퍼징 가스는 아르곤만을 포함할 수 있다. 이러한 경우, 챔버 시즈닝 프로세스 전의 퍼징 스테이지 동안의 퍼징 시간은 약 15 초 내지 약 30 초, 예컨대 약 20 초인 한편, 챔버 시즈닝 프로세스 후의 퍼징 스테이지 동안의 퍼징 시간은 약 3 초 내지 약 10 초, 예컨대 약 5 초이다.
[0029] 블록(112)에서, TEOS, 산소, 그리고 헬륨 또는 아르곤을 프로세싱 챔버에 유입시킴으로써, 챔버 시즈닝 프로세스가 수행된다. 유입된 가스들로부터 플라즈마가 형성되어, 챔버 구성요소들, 이를테면 페이스플레이트 및/또는 챔버 벽들의 노출된 내부 표면들 상에 옥사이드(예컨대, 실리콘 다이옥사이드) 시즈닝 층이 형성된다. 시즈닝 층은, 알루미늄 플루오라이드가 승화되어 다른 챔버 구성요소들, 이를테면 챔버 벽들의 노출된 내부 표면들 및/또는 페이스 플레이트에 도달하는 것을 방지하는 캡핑 층으로서의 역할을 한다. 실리콘 다이옥사이드 대신에, CVD 또는 PECVD에 의한 증착 잔류물(예컨대, 플루오린) 및/또는 증착물(deposit)들과 화학적으로 반응하는 임의의 전구체 가스가 또한 사용될 수 있다는 것이 고려된다. 본원에서 논의된 전체 챔버 세정 프로세스의 임의의 실시예들은 미리 결정된 챔버 조건이 달성될 때까지 필요한 만큼 수차례 반복될 수 있다.
[0030] 일 구현에서, 챔버 시즈닝 프로세스는, TEOS를 800 mgm 내지 약 2,000 mgm, 예컨대 약 1,200 mgm의 레이트로 프로세싱 챔버에 유입시키고 이 TEOS를, 약 50 sccm 내지 약 3,600 sccm으로 유입되는 헬륨 또는 아르곤 캐리어 가스와 결합시킴으로써 수행된다. 일부 경우들에서, TEOS 대신에 실란이 사용된다. 산소, 이를테면 N2O는 8,000 sccm 내지 약 20,000 sccm, 예컨대 약 11,000 sccm으로 프로세싱 챔버에 유입된다. 590 W로 인가된 고-주파수 성분(13.56 MHz) 및 220 W로 인가된 저-주파수 성분(350 KHz)을 갖는 혼합된 주파수 RF 전력의 인가에 의해 플라즈마가 형성된다. 챔버 시즈닝 프로세스 동안의 프로세싱 챔버의 온도는 400 ℃ 내지 약 550 ℃, 예컨대 약 470 ℃로 유지된다. 페이스 플레이트 온도는 약 100 ℃ 내지 약 300 ℃, 예컨대 200 ℃로 유지된다. 챔버 압력은 약 1 Torr 내지 약 20 Torr, 예컨대 약 4.5 Torr 내지 약 10 Torr로 유지된다. 챔버 시즈닝 층은, 프로세싱되는 기판들의 수에 따라 약 1,500 옹스트롬 내지 약 20,000 옹스트롬, 이를테면 약 2,000 옹스트롬 내지 약 15,000 옹스트롬, 예컨대 약 10,000 옹스트롬의 변하는 두께를 갖는다. 챔버 시즈닝 프로세스 전에 7 개의 기판들이 프로세싱되는 일부 예들에서, 시즈닝 층은 약 10,000 옹스트롬의 두께를 가질 수 있다. 실리콘 다이옥사이드가 논의되지만, 챔버 시즈닝 층이, 프로세싱 챔버에서 수소-함유 가스를 실리콘-함유 가스와 반응시킴으로써 증착될 수 있는 비정질 실리콘 층을 포함할 수 있다는 것이 고려된다.
[0031] 블록(112)의 완료 후에, 방법(100)은 종료로 진행될 수 있거나, 또는 배치 내의 미리 정의된 수의 또는 모든 기판들이 프로세싱될 때까지 블록들(102 내지 112) 중 임의의 블록이 반복될 수 있다.
[0032] 본 개시내용의 이점들은 다수의 기판들의 일관된 막 균일성을 가능하게 하기 위해 RF 보상을 사용하는 개선된 세정 접근법 및 증착 프로세스를 포함한다. 기판들의 배치 상에의 유전체 층의 증착 동안 고-주파수 RF 전력을 점진적으로 감소시킴으로써, 막 특성 드리프트들 및 세정 빈도가 최소화될 수 있다. 예컨대, 프로세싱 챔버에서의 막 특성 드리프팅(AlF 축적으로부터의 페이스 플레이트 방사율 변화에 기인함)이 방지될 수 있다. 가스들, 압력 및 RF 전력의 제어되지 않은 램핑을 제거하고 챔버 스위칭으로의 불필요한 전환을 제거하여, 프로세스 안정성이 개선될 수 있다. 프로세싱 챔버의 페이스 플레이트 또는 다른 챔버 구성요소들로부터 알루미늄 플루오라이드 및 다른 원하지 않는 잔류물들을 제거하는 것을 돕기 위해, 미리 결정된 수의 기판들이 증착으로 완료되기 전에, 그 동안 그리고/또는 그 후에, 개선된 세정 프로세스가 수행될 수 있다. 그 결과, 페이스 플레이트 및/또는 챔버 구성요소들의 수명은 길어진다.
[0033] 전술된 내용이 본 개시내용의 구현들에 관한 것이지만, 본 개시내용의 기본적인 범위로부터 벗어나지 않으면서, 본 개시내용의 다른 그리고 추가적인 구현들이 창안될 수 있다.

Claims (20)

  1. 프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법으로서,
    (a) 제1 RF 전력을 사용하여 제1 챔버 압력에서 제1 기판 상에 유전체 층을 증착하는 단계;
    (b) 제2 챔버 압력에서 상기 제1 기판에 후속하는 N 개의 기판들 상에 유전체 층을 순차적으로 증착하는 단계 ―N은 5 내지 10의 정수이고, N 개의 기판들의 각각의 기판 상에 유전체 층을 증착하는 것은 상기 제1 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 갖는 제2 RF 전력을 사용하는 것을 포함함―;
    (c) 기판의 존재 없이, 챔버 세정 프로세스를 수행하는 단계; 및
    (d) (a) 내지 (c)를 반복하는 단계
    를 포함하는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  2. 제1 항에 있어서,
    상기 제2 챔버 압력은 상기 제1 챔버 압력보다 더 낮은,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  3. 제1 항에 있어서,
    상기 챔버 세정 프로세스는 플루오린 및/또는 플루오린 라디칼들(F*)을 포함하는 세정 가스를 사용하는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  4. 제3 항에 있어서,
    (c) 후에, 상기 프로세싱 챔버의 프로세싱 구역에 배치된 챔버 구성요소의 표면 위에 시즈닝 층을 증착하는 단계
    를 더 포함하며,
    상기 시즈닝 층은 약 8,000 옹스트롬 내지 약 20,000 옹스트롬의 두께를 갖는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  5. 제4 항에 있어서,
    상기 시즈닝 층은 실리콘 다이옥사이드 또는 비정질 실리콘인,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  6. 제1 항에 있어서,
    상기 챔버 세정 프로세스는 약 200 mils 내지 약 800 mils의 제1 전극 간격으로 수행되는 제1 세정 스테이지, 및 약 900 mils 내지 약 1200 mils의 제2 전극 간격으로 수행되는 제2 세정 스테이지를 포함하는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  7. 제1 항에 있어서,
    기판 지지부의 온도는 (a) 및 (b) 동안 약 400 ℃ 내지 약 650 ℃로 유지되는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  8. 제1 항에 있어서,
    상기 프로세싱 챔버는 (c) 동안 약 400 ℃ 내지 약 550 ℃의 온도로 유지되는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  9. 프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법으로서,
    (a) 제1 기판 상에 유전체 층을 증착하기 위해 제1 고-주파수 RF 전력 및 제1 저-주파수 RF 전력을 사용하여 제1 챔버 압력에서 상기 제1 기판을 프로세싱하는 단계;
    (b) 상기 제1 기판에 후속하는 N 개의 기판들 상에 유전체 층을 증착하기 위해 제2 챔버 압력에서 N 개의 기판들을 순차적으로 프로세싱하는 단계 ―N은 5 내지 10의 정수이고, N 개의 기판들의 각각의 기판을 프로세싱하는 것은 제2 고-주파수 RF 전력 및 제2 저-주파수 RF 전력을 사용하는 것을 포함하며, 상기 제2 고-주파수 RF 전력은 직전 기판 상에의 유전체 층의 증착에 사용된 제1 고-주파수 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 가짐―;
    (c) 기판의 존재 없이, 챔버 세정 프로세스를 수행하는 단계; 및
    (d) 배치(batch)로부터의 모든 기판들이 프로세싱될 때까지 (a) 내지 (c)를 반복하는 단계
    를 포함하는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  10. 제9 항에 있어서,
    상기 제2 저-주파수 RF 전력은 상기 제1 저-주파수 RF 전력과 동일한,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  11. 제9 항에 있어서,
    상기 제2 챔버 압력은 상기 제1 챔버 압력보다 더 낮은,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  12. 제9 항에 있어서,
    상기 챔버 세정 프로세스는, 약 10 초의 지속기간 동안 그리고 약 200 mils 내지 약 800 mils의 제1 전극 간격으로 수행되는 제1 세정 스테이지, 및 약 20 초의 지속기간 동안 그리고 약 900 mils 내지 약 1200 mils의 제2 전극 간격으로 수행되는 제2 세정 스테이지를 포함하는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  13. 제12 항에 있어서,
    상기 챔버 세정 프로세스 후에, 상기 프로세싱 챔버의 프로세싱 구역에 배치된 챔버 구성요소의 표면 위에 시즈닝 층을 증착하는 단계
    를 더 포함하며,
    상기 시즈닝 층은 약 8,000 옹스트롬 내지 약 20,000 옹스트롬의 두께를 갖는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  14. 제13 항에 있어서,
    상기 시즈닝 층은 실리콘 다이옥사이드 또는 비정질 실리콘인,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  15. 제9 항에 있어서,
    상기 유전체 층은 실리콘, 실리콘 옥사이드, 실리콘 나이트라이드 또는 실리콘 옥시나이트라이드 전구체 혼합물로부터 형성된 플라즈마를 사용하여 증착되는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  16. 제9 항에 있어서,
    기판 지지부의 온도는 (a) 및 (b) 동안 약 400 ℃ 내지 약 650 ℃로 유지되는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  17. 제9 항에 있어서,
    상기 프로세싱 챔버는 (c) 동안 약 400 ℃ 내지 약 550 ℃의 온도로 유지되는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  18. 프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법으로서,
    N 번째 기판을 프로세싱하는 단계;
    (N+1) 번째 기판을 프로세싱하는 단계; 및
    상기 프로세싱 챔버의 온도를 약 550 ℃의 제1 온도로 유지시키고, 그런 다음, 세정 가스가 상기 프로세싱 챔버에 유입되기 전에 약 60 초 동안 상기 프로세싱 챔버를 냉각시킴으로써, 챔버 세정 프로세스를 수행하는 단계
    를 포함하며,
    N은 1보다 더 큰 정수이며,
    상기 N 번째 기판을 프로세싱하는 단계는,
    상기 N 번째 기판 상에 유전체 층의 제1 부분을 증착하기 위해 제1 챔버 압력에서 제1 고-주파수 RF 전력 및 제1 저-주파수 RF 전력을 사용하는 단계,
    상기 N 번째 기판 상에 상기 유전체 층의 제2 부분을 증착하기 위해 제2 챔버 압력에서 제2 고-주파수 RF 전력 및 제2 저-주파수 RF 전력을 사용하는 단계 ―상기 제2 고-주파수 RF 전력은 상기 제1 고-주파수 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 가지며, 상기 제2 챔버 압력은 상기 제1 챔버 압력보다 더 낮음―, 및
    상기 프로세싱 챔버로부터 상기 N 번째 기판을 제거하는 단계
    를 포함하며,
    상기 (N+1) 번째 기판을 프로세싱하는 단계는,
    상기 (N+1) 번째 기판 상에 유전체 층을 증착하기 위해 상기 제2 챔버 압력에서 상기 제2 고-주파수 RF 전력 및 상기 제2 저-주파수 RF 전력을 사용하는 단계 ―상기 제2 고-주파수 RF 전력은 상기 N 번째 기판 상에의 상기 유전체 층의 상기 제1 부분의 증착에 사용된 제1 고-주파수 RF 전력의 전력 밀도보다 약 0.21 W/㎠ 내지 약 0.35 W/㎠ 더 낮은 전력 밀도를 가짐―, 및
    상기 프로세싱 챔버로부터 상기 (N+1) 번째 기판을 제거하는 단계
    를 포함하는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  19. 제18 항에 있어서,
    상기 세정 가스는 플루오린 및/또는 플루오린 라디칼들(F*)을 포함하는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
  20. 제19 항에 있어서,
    상기 챔버 세정 프로세스를 수행한 후에, 상기 프로세싱 챔버의 프로세싱 구역에 배치된 챔버 구성요소의 표면 위에 시즈닝 층을 증착하는 단계
    를 더 포함하며,
    상기 시즈닝 층은 약 8,000 옹스트롬 내지 약 20,000 옹스트롬의 두께를 갖는,
    프로세싱 챔버에서 기판들을 프로세싱하기 위한 방법.
KR1020197020592A 2016-12-16 2017-12-18 챔버 드리프팅 없이 고온 프로세싱을 가능하게 하는 방법 KR20190088079A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662435525P 2016-12-16 2016-12-16
US62/435,525 2016-12-16
PCT/US2017/067040 WO2018112463A1 (en) 2016-12-16 2017-12-18 Method to enable high temperature processing without chamber drifting

Publications (1)

Publication Number Publication Date
KR20190088079A true KR20190088079A (ko) 2019-07-25

Family

ID=62559387

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020197020592A KR20190088079A (ko) 2016-12-16 2017-12-18 챔버 드리프팅 없이 고온 프로세싱을 가능하게 하는 방법

Country Status (5)

Country Link
US (1) US11060189B2 (ko)
JP (1) JP2020502803A (ko)
KR (1) KR20190088079A (ko)
CN (1) CN110140193B (ko)
WO (1) WO2018112463A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220063536A (ko) * 2020-11-10 2022-05-17 세메스 주식회사 부품 표면 처리 장치 및 방법

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20200058539A1 (en) * 2018-08-17 2020-02-20 Applied Materials, Inc. Coating material for processing chambers
CN114051541A (zh) * 2019-06-26 2022-02-15 朗姆研究公司 通过原位钝化室积累扩展
JP7454467B2 (ja) * 2020-08-03 2024-03-22 株式会社荏原製作所 基板処理システム、基板処理システムの制御装置及び基板処理システムの運転方法
US11572622B2 (en) 2020-09-14 2023-02-07 Applied Materials, Inc. Systems and methods for cleaning low-k deposition chambers

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JP3118913B2 (ja) * 1991-10-30 2000-12-18 ソニー株式会社 半導体装置の製造方法
JP3323764B2 (ja) * 1996-11-14 2002-09-09 東京エレクトロン株式会社 処理方法
JP4216003B2 (ja) * 2001-06-01 2009-01-28 株式会社半導体エネルギー研究所 半導体装置の作製方法
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
KR100541195B1 (ko) * 2003-05-09 2006-01-11 주식회사 아이피에스 산화 금속막 증착 챔버의 세정 방법 및 이를 수행하기위한 증착 장치
CN102414786B (zh) * 2009-04-28 2016-08-24 应用材料公司 在原位清洁后利用nh3净化对mocvd腔室进行去污染处理
US9030101B2 (en) * 2012-02-22 2015-05-12 Lam Research Corporation Frequency enhanced impedance dependent power control for multi-frequency RF pulsing
JP6100047B2 (ja) * 2012-03-26 2017-03-22 株式会社アルバック 窒化ガリウム膜の形成方法、及び、窒化ガリウム膜の形成装置
JP2013239574A (ja) * 2012-05-15 2013-11-28 Tokyo Electron Ltd 太陽電池の製造方法及びプラズマ処理装置
JP2014192484A (ja) * 2013-03-28 2014-10-06 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
JP2015070095A (ja) * 2013-09-27 2015-04-13 東京エレクトロン株式会社 基板処理装置及び基板処理方法
US9328416B2 (en) * 2014-01-17 2016-05-03 Lam Research Corporation Method for the reduction of defectivity in vapor deposited films
US9299558B2 (en) * 2014-03-21 2016-03-29 Applied Materials, Inc. Run-to-run stability of film deposition
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220063536A (ko) * 2020-11-10 2022-05-17 세메스 주식회사 부품 표면 처리 장치 및 방법

Also Published As

Publication number Publication date
US11060189B2 (en) 2021-07-13
WO2018112463A1 (en) 2018-06-21
CN110140193A (zh) 2019-08-16
CN110140193B (zh) 2023-04-14
JP2020502803A (ja) 2020-01-23
US20200095677A1 (en) 2020-03-26

Similar Documents

Publication Publication Date Title
US10916407B2 (en) Conditioning remote plasma source for enhanced performance having repeatable etch and deposition rates
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
CN111247269B (zh) 介电膜的几何选择性沉积
US20200251328A1 (en) Method of topology-selective film formation of silicon oxide
US11060189B2 (en) Method to enable high temperature processing without chamber drifting
KR102158307B1 (ko) 플라즈마 프로세싱 챔버에서의 인-시튜 챔버 세정 효율 향상을 위한 플라즈마 처리 프로세스
KR20210094462A (ko) 전처리를 사용하여 실리콘 질화물 층을 증착하는 방법, 상기 방법을 사용하여 형성된 구조체, 및 상기 방법을 수행하기 위한 시스템
KR100855597B1 (ko) 육불화황 원격 플라즈마 소스 세정
US10892143B2 (en) Technique to prevent aluminum fluoride build up on the heater
KR20170044602A (ko) Peald에 의하여 트렌치들 내에 유전체 막을 퇴적하는 방법
US20140272184A1 (en) Methods for maintaining clean etch rate and reducing particulate contamination with pecvd of amorphous silicon filims
US10688538B2 (en) Aluminum fluoride mitigation by plasma treatment
KR20130105308A (ko) 불소 분자의 동일반응계내 활성화를 이용한 증착 챔버 세정 방법
US20200255940A1 (en) Method for cleaning process chamber
CN116130356A (zh) 使用沉积工艺和刻蚀工艺的工件处理
US20230146375A1 (en) Substrate processing method and substrate processing apparatus
EP2944385A1 (en) A process for etching and chamber cleaning and a gas therefor
CN116635570A (zh) 减轻应力引发的缺陷的碳cvd沉积方法
US20160133441A1 (en) Etch enhancement via controlled introduction of chamber contaminants

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application