KR20120032447A - 기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법 - Google Patents

기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법 Download PDF

Info

Publication number
KR20120032447A
KR20120032447A KR1020110098204A KR20110098204A KR20120032447A KR 20120032447 A KR20120032447 A KR 20120032447A KR 1020110098204 A KR1020110098204 A KR 1020110098204A KR 20110098204 A KR20110098204 A KR 20110098204A KR 20120032447 A KR20120032447 A KR 20120032447A
Authority
KR
South Korea
Prior art keywords
substrate
position detection
susceptor
wafer
mounting portion
Prior art date
Application number
KR1020110098204A
Other languages
English (en)
Other versions
KR101454068B1 (ko
Inventor
가쯔요시 아이까와
마나부 혼마
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20120032447A publication Critical patent/KR20120032447A/ko
Application granted granted Critical
Publication of KR101454068B1 publication Critical patent/KR101454068B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/681Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment using optical controlling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68721Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge clamping, e.g. clamping ring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

서셉터를 움직여서 기판 적재부를 촬상 장치의 촬상 영역에 위치시키는 공정과, 처리 용기 내에 있어서 촬상 장치의 촬상 영역 내에 위치하도록 설치되는 2개의 제1 위치 검출 마크이며, 2개의 제1 위치 검출 마크의 제1 수직 이등분선이 서셉터의 회전 중심을 통과하는 2개의 제1 위치 검출 마크를 검출하는 공정과, 서셉터에 있어서 기판 적재부에 대하여 설치되는 2개의 제2 위치 검출 마크이며, 2개의 제2 위치 검출 마크의 제2 수직 이등분선이 서셉터의 회전 중심과 기판 적재부의 중심을 통과하는 2개의 제2 위치 검출 마크를 검출하는 공정과, 검출한 2개의 제1 위치 검출 마크 및 2개의 제2 위치 검출 마크를 기초로 하여 기판 적재부가 소정의 범위에 위치하는지를 판정하는 공정을 포함한다.

Description

기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법 {SUBSTRATE POSITION DETECTION APPARATUS, FILM DEPOSITION APPARATUS EQUIPPED WITH THE SAME, AND SUBSTRATE POSITION DETECTION METHOD}
본 출원은, 2010년 9월 28일 및 2011년 7월 7일에 일본 특허청에 각각 출원된 일본 특허 출원 제2010-217253호 및 제2011-151081호에 의거하는 우선권을 주장하는 것이며, 그 전체 내용을 여기에 원용한다.
본 발명은, 반도체 디바이스의 제조 장치 등에 수용되는 기판의 위치를 검출하는 기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법에 관한 것이다.
반도체 소자의 제조 공정에 있어서는, 성막 장치, 에칭 장치, 및 검사 장치를 비롯한 다양한 제조 장치의 소정의 챔버 내로 기판이 반송되어, 각각의 장치에 따른 처리가 기판에 대하여 행해진다. 기판은, 포크나 엔드 이펙터를 갖는 반송 아암에 의해 각 장치 내로 반입되지만, 챔버 내에 있어서는 소정의 위치에 정확하게 배치해야만 한다. 예를 들어, 성막 장치의 챔버 내에서 소정의 위치로부터 어긋나 버리면, 기판을 균일하게 가열할 수 없어, 막질 및 막 두께의 균일성이 악화된다고 하는 문제가 발생한다. 또한, 소정의 위치로부터 어긋나 있으면, 처리 후에, 포크나 엔드에페크타에 의해 기판을 취출할 수 없는 등의 문제도 발생할 수 있다.
또한, 막 두께의 제어성 및 균일성이 우수하므로 주목을 모으고 있는 분자층(원자층) 성막(ALD) 장치 중에는, 원료 가스의 교대 공급 대신에, 기판이 적재된 서셉터를 고속으로 회전함으로써 원료 가스를 기판에 대하여 교대로 부착시키는 것이 있지만, 이러한 장치에 있어서 기판이 소정의 위치에 없는 경우, 서셉터의 회전에 의해 기판이 날리게 되는 등의 문제가 발생한다.
기판을 소정의 위치에 정확하게 배치하여 상기와 같은 문제를 해결하기 위해, CCD 카메라 등을 이용하여 기판을 촬상하고, 이렇게 해서 얻어진 화상을 기초로 하여 기판의 위치를 검출하는 방법이 있다(특허 문헌 1 참조). 이 방법에 따르면, 1대의 CCD 카메라로 기판도 서셉터도 촬영할 수 있으므로, 광학계를 단순화할 수 있어 비용을 상승시키지 않아도 되어, 원격 검출이 가능하다.
[특허 문헌 1] : 일본 특허 출원 공개 제2010-153769호 공보
CCD 카메라 등을 갖는 기판 위치 검출 장치를 사용하여, 예를 들어 ALD 장치 내의 기판의 위치를 검출할 경우에는, 기판과, 기판이 적재되는 서셉터를 CCD 카메라 등에 의해 촬상하기 위해, 기판 위치 검출 장치를 챔버의 천장판 상에 배치하고, 천장판에 형성되는 투과창을 통과시켜 촬상하게 된다.
그런데 챔버의 보수 등을 위해, 챔버 본체로부터 천장판을 제거하는 경우가 있다. 기판 위치 검출 장치를 천장판 상에 부착할 경우, 보수 등의 후, 챔버 본체에 대하여 기판 위치 검출 장치를 높은 정밀도로 위치 결정해야만 한다. 기판 위치 검출 장치(CCD 카메라)의 위치가 어긋나면, 기판 위치 검출 장치에 의해 관찰되는 서셉터와 기판과의 상대적인 위치가 어긋나게 되어, 기판 위치를 정확하게 검출할 수 없게 되기 때문이다. 높은 정밀도에서의 위치 결정에는 비교적 긴 시간이 걸리므로, ALD 장치의 이용 효율이 저하되어 버린다는 문제가 발생한다.
따라서, 본 발명은, 상기의 사정에 비추어, 기판이 수용되는 챔버에 대하여 기판 위치 검출 장치를 높은 위치 결정 정밀도로 부착하지 않아도, 기판의 위치를 높은 정밀도로 검출할 수 있는 기판 위치 검출 장치, 이것을 구비하는 성막 장치, 및 기판 위치 검출 방법을 제공한다.
본 발명의 제1 형태에 따르면, 기판에 대하여 소정의 처리를 행하는 처리 용기와, 처리 용기 내에 회전 가능하게 수용되어, 위치 검출 대상인 기판이 적재되는 기판 적재부가 형성되는 서셉터를 구비하는 반도체 제조 장치에 있어서 행해지는, 기판의 위치를 검출하는 위치 검출 방법이 제공된다. 이 방법은, 상기 서셉터를 움직여서 상기 기판 적재부를 촬상 장치의 촬상 영역에 위치시키는 공정과, 상기 처리 용기 내에 있어서 촬상 장치의 촬상 영역 내에 위치하도록 설치되는 2개의 제1 위치 검출 마크이며, 상기 2개의 제1 위치 검출 마크의 제1 수직 이등분선이 상기 서셉터의 회전 중심을 통과하도록 설치되는 당해 2개의 제1 위치 검출 마크를 검출하는 공정과, 상기 서셉터에 있어서 상기 기판 적재부에 대하여 설치되는 2개의 제2 위치 검출 마크이며, 상기 2개의 제2 위치 검출 마크의 제2 수직 이등분선이 상기 서셉터의 회전 중심과 상기 기판 적재부의 중심을 통과하도록 설치되는 당해 2개의 제2 위치 검출 마크를 검출하는 공정과, 검출된 상기 2개의 제1 위치 검출 마크 및 상기 2개의 제2 위치 검출 마크를 기초로 하여 상기 기판 적재부가 소정의 범위에 위치하는지를 판정하는 공정을 포함한다.
본 발명의 제2 형태는, 기판에 대하여 소정의 처리를 행하는 처리 용기와, 처리 용기 내에 회전 가능하게 수용되어, 위치 검출 대상인 기판이 적재되는 기판 적재부가 형성되는 서셉터를 구비하는 반도체 제조 장치에 사용되는, 기판의 위치를 검출하는 위치 검출 장치가 제공된다. 이 장치는, 상기 처리 용기 내에 있어서 촬상 장치의 촬상 영역 내에 수납되도록 설치되는 2개의 제1 위치 검출 마크이며, 상기 2개의 제1 위치 검출 마크의 제1 수직 이등분선이 상기 서셉터의 회전 중심을 통과하도록 설치되는 당해 2개의 제1 위치 검출 마크와, 상기 서셉터에 있어서 상기 기판 적재부에 대하여 설치되는 2개의 제2 위치 검출 마크이며, 상기 2개의 제2 위치 검출 마크의 상기 수직 이등분선이 상기 서셉터의 회전 중심과 상기 기판 적재부의 중심을 통과하도록 설치되는 당해 2개의 제2 위치 검출 마크와, 상기 기판 적재부의 주연 영역을 포함하는 영역을 촬상하는 촬상부, 및 상기 촬상부에 의해 촬상된 화상을 기초로 하여, 상기 제1 수직 이등분선 및 상기 제2 수직 이등분선을 특정하고, 특정한 상기 제1 수직 이등분선 및 상기 제2 수직 이등분선을 기초로 하여, 상기 기판 적재부의 위치가 소정의 범위 내에 있는지를 판정하는 제어부를 구비한다.
본 발명의 제3 형태는, 용기 내에서, 서로 반응하는 적어도 2종류의 반응 가스를 순서대로 기판에 공급하는 사이클을 실행해서 반응 생성물의 층을 당해 기판 상에 생성함으로써 막을 퇴적하는 성막 장치를 제공한다. 이 장치는, 상기 용기에 회전 가능하게 설치된 서셉터와, 상기 서셉터의 하나의 면에 설치되어, 상기 기판이 적재되는 적재부와, 상기 적재부에 적재되는 상기 기판의 위치를 검출하는, 제2 형태의 기판 위치 검출 장치와, 상기 하나의 면에 제1 반응 가스를 공급하도록 구성된 제1 반응 가스 공급부와, 상기 서셉터의 회전 방향을 따라서 상기 제1 반응 가스 공급부로부터 떨어진, 상기 하나의 면에 제2 반응 가스를 공급하도록 구성된 제2 반응 가스 공급부와, 상기 회전 방향을 따라서 상기 제1 반응 가스가 공급되는 제1 처리 영역과 상기 제2 반응 가스가 공급되는 제2 처리 영역과의 사이에 위치하고, 상기 제1 처리 영역과 상기 제2 처리 영역을 분리하는 분리 영역과, 상기 제1 처리 영역과 상기 제2 처리 영역을 분리하기 위해, 상기 용기의 중앙에 위치하고, 상기 하나의 면을 따라서 제1 분리 가스를 토출하는 토출 구멍을 갖는 중앙 영역과, 상기 용기를 배기하기 위해 상기 용기에 설치된 배기구를 구비한다. 상기 분리 영역은, 제2 분리 가스를 공급하는 분리 가스 공급부와, 상기 제2 분리 가스가 상기 회전 방향에 대하여 상기 분리 영역으로부터 상기 처리 영역측으로 흐를 수 있는 협애한 공간을, 상기 서셉터의 상기 하나의 면에 대하여 형성하는 천장면을 포함한다.
도 1은 본 발명의 제1 실시 형태에 의한 기판 검출 장치를 구비하는 성막 장치를 모식적으로 도시하는 단면도이다.
도 2는 도 1의 성막 장치를 모식적으로 도시하는 상면도이다.
도 3은 도 1의 보조선(S)에 따른 일부 단면도이다.
도 4는 도 1의 성막 장치의 서셉터의 기판 적재부를 설명하는 설명도이다.
도 5는 본 발명의 제2 실시 형태에 의한 기판 검출 방법을 설명하는 흐름도이다.
도 6은 서셉터의 주연 영역을 촬상한 화상의 일례를 모식적으로 도시하는 도면이다.
도 7은 서셉터의 기판 적재부의 위치를 검출하는 원리를 설명하는 설명도이다.
도 8은 기판 적재부에 기판이 적재된 서셉터 주연 영역을 촬상한 화상의 일례를 모식적으로 도시하는 도면이다.
도 9는 기판 적재부에 적재되는 기판의 위치를 검출하는 원리를 설명하는 도면이다.
도 10은 본 발명의 실시 형태에 의한 기판 검출 장치의 변형예를 모식적으로 도시하는 단면도이다.
도 11은 본 발명의 제3 실시 형태에 의한 기판 검출 방법을 설명하는 흐름도이다.
도 12는 도 11에 이어서 본 발명의 제3 실시 형태에 의한 기판 검출 방법을 설명하는 흐름도이다.
도 13은 기판 적재부의 상방에 있어서 반송 아암에 의해 보유 지지되는 기판을 도시하는 개략 상면도이다.
도 14는 기판 적재부의 상방에 있어서 반송 아암에 의해 보유 지지되는 기판, 기판 적재부 및 촬상부의 위치 관계를 도시하는 도면이다.
도 15는 기판의 중심 위치와, 기판 적재부의 중심과의 편차를 도시하는 모식도이다.
본 발명의 실시 형태에 따르면, 기판이 수용되는 챔버에 대하여 기판 위치 검출 장치를 높은 위치 결정 정밀도로 부착하지 않아도, 기판의 위치를 높은 정밀도로 검출할 수 있는 기판 위치 검출 장치, 이것을 구비하는 성막 장치, 및 기판 위치 검출 방법이 제공된다.
이하, 첨부한 도면을 참조하면서, 본 발명의 한정적이지 않은 예시의 실시 형태에 대해서 설명한다. 첨부한 모든 도면 중, 동일 또는 대응하는 부재 또는 부품에 대해서는, 동일 또는 대응하는 참조 번호를 부여하고, 중복되는 설명을 생략한다. 또한, 도면은 부재 혹은 부품 간의 상대비를 나타내는 것을 목적으로 하지 않으며, 따라서, 구체적인 치수는 이하의 한정적이지 않은 실시 형태에 비추어, 당업자에 의해 결정되어야 한다.
(제1 실시 형태)
도 1에서 도 4까지를 참조하면서, 본 발명의 제1 실시 형태에 의한 성막 장치를 설명한다. 도 1 및 도 2에 도시한 바와 같이, 본 실시 형태에 의한 성막 장치(200)는 진공 용기(1)와, 이 진공 용기(1) 내에 설치되어, 진공 용기(1)의 중심으로 회전 중심을 갖는 서셉터(2)를 구비하고 있다.
진공 용기(1)는 도 1 및 도 2로부터 알 수 있는 바와 같이, 대략 편평한 바닥이 있는 원통 형상을 갖는 용기 본체(12)와, 예를 들어 O-링 등의 밀봉 부재(13)를 거쳐 용기 본체(12)의 상면에 기밀하게 적재되는 천장판(11)을 가지고 있다. 천장판(11) 및 용기 본체(12)는, 예를 들어 알루미늄(Al) 등의 금속에 의해 제작된다. 천장판(11)에는, 예를 들어 석영 글래스를 사용해서 제작되는 투과창(201)이, O링 등의 도시하지 않은 밀봉 부재에 의해 기밀하게 설치되어 있다. 투과창(201)은, 용기 본체(12)의 측벽에 개구되는 반송구(15)에 인접해서 설치되어 있다. 반송구(15)는 웨이퍼(W)를 진공 용기(1) 내로 반입하고, 진공 용기(1)로부터 반출하기 위해 설치되어 있다. 이 반송구(15)에는 게이트 밸브(15a)가 설치되고, 이에 의해 반송구(15)가 개폐된다.
또한, 성막 장치(200)에는, 본 발명의 실시 형태에 의한 기판 위치 검출 장치가 설치되어 있다. 구체적으로는, 기판 위치 검출 장치(101)는 성막 장치(200)의 천장판(11)에 설치된 투과창(201) 상에 배치되어 있다. 또한, 기판 위치 검출 장치(101)는, 하우징(102)과, 하우징(102) 내에 부착되어, 위치 검출의 대상인 웨이퍼(W)를 촬상하는 카메라(104)와, 하우징(102) 내에 있어서 카메라(104)의 하방에 배치되는 패널(106)과, 패널(106)에 빛을 조사하는 광원(108)을 가지고 있다.
하우징(102)은 하부에 개구부를 갖고, 이 개구부는 투명한 창(102a)에 의해 밀봉되어 있다. 창(102a)은, 천장판(11)의 투과창(201)과 대향하고 있다. 또한, 하우징(102)에는, 측벽의 상방에 냉각선(102b)이 설치되고, 측벽의 하방에 개구(102c)가 마련되어 있다. 도 1 중에 2점 쇄선의 화살표로 나타낸 바와 같이, 냉각선(102b)에 의해 외기를 카메라(104)에 송풍하고, 개구(102c)로부터 배기함으로써, 카메라(104)를 냉각할 수 있다. 또한, 위치 검출 시에 웨이퍼(W)가 가열되어 있는 경우에는, 복사열에 의해 창(102a)이 가열되고, 이에 의해 하우징(102) 내에서 열 기류가 발생하여, 화상이 흐릿해지는 경우가 있다. 그러나 냉각선(102b)에 의해 창(102a)도 냉각할 수 있으므로, 열 기류에 의한 화상의 흐릿해짐을 줄일 수 있다.
카메라(104)는, 촬상 소자로서 예를 들어 전하 결합 소자(CCD)를 가지고 있고, 창(102a)을 향하도록, 하우징(102) 내의 상방부에 부착되어 있다. 이 구성에 의해, 카메라(104)는 창(102a)과, 성막 장치(200)의 천장판(11)의 투과창(201)을 통해 진공 용기(1) 내의 서셉터(2)에 적재되는 웨이퍼(W)를 촬상할 수 있다. 특히, 천장판(11)의 투과창(201)이 반송구(15)에 인접한 위치에 형성되어 있으므로, 반송구(15)를 통해서 반입되거나 또는 반출되는 웨이퍼(W)를 촬상할 수 있다. 즉, 웨이퍼(W)의 위치를 반입출 시에 빠르게 검출할 수 있다.
또한, 카메라(104)에는 제어부(104a)가 전기적으로 접속되어 있다. 제어부(104a)에 의해, 카메라(104)의 동작(온/오프, 포커싱, 촬상 등)이 제어되는 동시에, 카메라(104)에 의해 얻게 된 화상 데이터가 처리된다. 이 처리에는, 화상 데이터로부터 웨이퍼(W)나 서셉터(2)의 위치를 구하는 연산 처리가 포함된다. 또한, 제어부(104a)는 소정의 입출력 장치(도시하지 않음)를 통해 기억 매체에 기억된 프로그램을 다운로드하고, 이 프로그램을 따라서 카메라(104)나 광원(108) 등의 각 구성을 제어함으로써, 후술하는 기판 위치 검출 방법이 실시된다.
패널(106)은, 본 실시 형태에 있어서는, 백색 안료가 도포된 유백색의 아크릴판으로 제작되어, 하우징(102) 내에 있어서 카메라(104)와 창(102a) 사이에 부착되어 있다. 패널(106)의 대략 중앙에는 개구부(106a)가 형성되어 있다. 개구부(106a)를 통해 성막 장치(200) 내의 웨이퍼(W) 및 그 주변이 카메라(104)에 의해 촬상된다. 따라서, 개구부(106a)의 위치 및 크기는, 카메라(104)가 진공 용기(1) 내의 웨이퍼(W) 및 그 주변 영역을 촬상할 수 있도록 결정된다. 구체적으로는, 도 2에 도시한 바와 같이, 웨이퍼 위치의 검출에 이용되는 웨이퍼(W)의 엣지와, 서셉터(2)에 형성되는 서셉터 마크(2a)와, 성막 장치(100)의 용기 본체(12)의 저면에 형성되는 챔버 마크(120a)를 포함하는 시야(F)를 확보할 수 있도록 결정해도 좋고, 패널(106)과 카메라(104)와의 거리도 고려하여 결정해도 좋다.
또, 서셉터 마크(2a)는, 본 실시 형태에서는, 서셉터(2)에 형성된 오목부에 예를 들어 흑색 석영으로 제작된 원반을 매립함으로써 형성되어 있다. 또, 흑색 석영은 서셉터(2)가 석영으로 제작되는 경우에 바람직하고, 예를 들어 서셉터(2)가 카본으로 제작될 경우에는, 알루미나로 제작된 원반을 매립함으로써 형성되면 바람직하다.
또한, 이후에 참조하는 도 8에 도시되어 있는 바와 같이, 2개의 챔버 마크(120a)는 용기 본체(12)의 저부에 형성된 오목부에 대하여 예를 들어 흑색 석영으로 제작된 원반(1201)을 매립하고, 원반(1201)에 중앙부에 대하여 알루미나로 제작된 원반(1202)을 부착함으로써, 형성되어 있다.
광원(108)은, 본 실시 형태에 있어서는, 패널(106)과 창(102a) 사이에 있어서, 패널(106)의 하면에 빛을 조사하도록, 또한 개구부(106a)를 통해 카메라(104)에 빛이 조사되지 않도록 하우징(102)의 내벽에 부착되어 있다. 광원(108)에 의한 패널(106)에의 광 조사에 의해, 시야(F)(도 2) 내의 웨이퍼(W)나 서셉터(2)는 간접적으로 조명된다. 광원(108)은, 상하 방향으로 선회 가능하게 부착되어도 좋고, 또한 소정의 모터 등을 설치해서 조사 방향의 절환을 할 수 있도록 하면 바람직하다. 이와 같이 하면, 택일적으로, 광원(108) 상방의 패널(106)에 빛을 조사하거나, 광원(108) 하방의 웨이퍼(W)에 빛을 조사할 수 있다.
광원(108)은, 본 실시 형태에 있어서는, 백색 발광 다이오드(LED)(108a)와, 백색 LED(108a)에 전력을 공급하는 전원(108b)을 가지고 있다. 전원(108b)은 출력 전압을 바꿀 수 있어, 이로써 패널(106)에 의해 간접적으로 조명되는 웨이퍼(W)에의 조도를 조정할 수 있다. 조도의 조정에 의해, 카메라(104)는 보다 선명한 화상을 촬상하는 것이 가능해진다.
진공 용기(1) 내에 배치되는 서셉터(2)에는, 웨이퍼가 적재되는 복수의 적재부(24)가 형성되어 있다. 본 실시 형태에 있어서는, 적재부(24)는 오목부로서 구성되어 있다. 구체적으로는, 약 300㎜(12 인치)의 지름을 갖는 웨이퍼(W)에 대하여, 오목부로서의 적재부(24)의 내경은 예를 들어 약 304㎜ 내지 약 308㎜라도 좋다. 또한, 적재부(24)는 그 웨이퍼의 두께와 거의 동등한 깊이를 가지고 있다. 이와 같이 구성되는 적재부(24)에 의해, 적재부(24)에 웨이퍼를 적재했을 때에는, 웨이퍼의 표면과 서셉터(2)의 표면[적재부(24)가 형성되어 있지 않은 영역]이 동일한 높이가 된다. 즉, 웨이퍼의 두께에 의한 단차가 발생하지 않으므로, 서셉터(2) 상에 있어서의 가스의 흐름에 흐트러짐이 발생하는 것을 줄일 수 있다.
또한, 도 3의 (a)에 도시한 바와 같이, 서셉터(2)의 적재부(24)에는 3개의 관통 구멍이 형성되고, 이들의 관통 구멍의 각각을 통해 상하 이동 가능한 리프트 핀(16a)이 설치되어 있다. 3개의 리프트 핀(16a)은 푸셔(2P)를 지지하고, 푸셔(2P)를 상하 이동할 수 있다. 또한, 적재부(24)에는 푸셔(2P)를 수용 가능한, 푸셔(2P)의 형상에 대응한 형상을 갖는 스폿 페이싱부(24b)가 형성되어 있다. 리프트 핀(16a)이 강하해서 푸셔(2P)를 스폿 페이싱부(24b)에 수용하면, 푸셔(2P)의 상면과 적재부(24)의 저면은 동일한 높이에 위치한다. 또한, 도 3의 (b)에 도시한 바와 같이, 적재부(24)의 외주에 웨이퍼 지지부(24a)가 형성되어 있다. 웨이퍼 지지부(24a)는, 적재부(24)의 내주벽을 따라 복수개(예를 들어 8개) 형성되어 있고, 적재부(24)에 적재되는 웨이퍼(W)는, 웨이퍼 지지부(24a)에 의해 지지되게 된다. 이에 의해, 웨이퍼(W)와 적재부(24)의 저면 사이에는 일정한 간격이 유지되어, 웨이퍼(W)의 이면이 적재부(24)의 저면에 직접 접촉되는 일이 없다. 이에 의해, 적재부(24)의 저면과의 사이의 공간을 거쳐 서셉터(2)로부터 웨이퍼가 가열되므로, 웨이퍼(W)는 균일하게 가열되게 된다.
다시 도 3의 (a)를 참조하면, 적재부(24)의 주위에는 원형의 가이드 홈(18g)이 형성되어 있고, 여기에 웨이퍼 가이드 링(18)이 끼워 맞춘다. 도 3의 (c)는 가이드 홈(18g)에 끼워 맞춘 웨이퍼 가이드 링(18)을 도시하고 있다. 도시한 바와 같이, 웨이퍼 가이드 링(18)은 웨이퍼(W)의 외경보다도 약간 큰 내경을 가지고 있고, 웨이퍼 가이드 링(18)이 가이드 홈(18g)에 끼워 맞추어졌을 때에, 웨이퍼(W)는 웨이퍼 가이드 링(18)의 내측에 배치된다. 또한, 웨이퍼 가이드 링(18)의 상면에는 갈고리부(18a)가 설치되어 있다. 갈고리부(18a)는 웨이퍼(W)에 접하는 일 없이, 웨이퍼 가이드 링(18)의 내측을 향해 웨이퍼(W)의 외연으로부터 약간 내측에까지 연장되어 있다. 예를 들어 진공 용기(1) 내에서 어떠한 원인에 의해 급격한 압력 변동이 있었던 경우에는, 그 압력 변동에 의해, 웨이퍼(W)가 적재부(24)로부터 튀어나갈 가능성이 있다. 그러나 그러한 경우에 있어서는, 웨이퍼 가이드 링(18)에 설치된 갈고리부(18a)에 의해 웨이퍼(W)는 압박될 수 있으므로, 적재부(24)에 유지될 수 있다.
또한, 가이드 홈(18g)의 외측에는, 웨이퍼 가이드 링(18)을 승강하기 위한 4개의 승강 핀(16b)이 설치되어 있다. 승강 핀(16b)이 웨이퍼 가이드 링(18)을 들어 올리고 있는 동안에, 서셉터(2)와 웨이퍼 가이드 링(18) 사이에 반송 아암(10A)(도 2)에 의해 웨이퍼(W)가 반입된다. 리프트 핀(16a)에 의해 푸셔(2P)가 들어 올려져, 푸셔(2P)가 반송 아암(10)으로부터 웨이퍼(W)를 수취하면, 반송 아암(10A)이 퇴출되고, 리프트 핀(16a)이 강하하여 푸셔(2P)를 적재부(24)의 스폿 페이싱부(24b)에 수용한다. 이에 의해, 웨이퍼(W)는 웨이퍼 지지부(24a)로 지지됨으로써 적재부(24)에 적재된다. 계속해서, 승강 핀(16b)이 강하해서 웨이퍼 가이드 링(18)을 가이드 홈(18g)에 수용하면, 웨이퍼(W)는 웨이퍼 가이드 링(18)에 의해 확실하게 적재부(24)에 수용되게 된다.
도 1을 다시 참조하면, 서셉터(2)는 중앙에 원형의 개구부를 가지고 있고, 개구부의 주위에서 원통 형상의 코어부(21)에 의해 상하로부터 협지하여 보유 지지되어 있다. 코어부(21)는, 그 하부에 있어서 회전축(22)에 고정되어 있고, 회전축(22)은 구동부(23)에 접속되어 있다. 코어부(21) 및 회전축(22)은, 서로 공통인 회전축을 갖고, 구동부(23)의 회전에 의해 회전축(22) 및 코어부(21), 나아가서는 서셉터(2)가 회전할 수 있다.
또, 회전축(22) 및 구동부(23)는, 상면이 개구된 통 형상의 케이스체(20) 내에 수납되어 있다. 이 케이스체(20)는 그 상면에 설치된 플랜지부(20a)를 거쳐 진공 용기(1)의 저부 이면에 기밀하게 부착되어 있으며, 이에 의해, 케이스체(20)의 내부 분위기가 외부 분위기로부터 격리되어 있다.
도 2를 참조하면, 진공 용기(1)에는 서셉터(2)의 상방으로 서로 이격된 2개의 볼록 형상부(4A 및 4B)가 설치되어 있다. 도시한 바와 같이, 볼록 형상부(4A 및 4B)는 대략 부채형의 상면 형상을 가지고 있다. 부채형의 볼록 형상부(4A 및 4B)는 그 정상부가, 코어부(21)를 둘러싸도록 천장판(11)에 부착된 돌출부(5)의 외주에 근접하고, 그 원호가 용기 본체(12)의 내주벽을 따르도록 배치되어 있다. 도 2에서는 설명의 편의상, 천장판(11)을 생략하고 있지만, 볼록 형상부(4A 및 4B)는 천장판(11)의 하면에 부착되어 있다[볼록 형상부(4A)에 대해서는 도 1에도 도시되어 있음]. 볼록 형상부(4A, 4B)는, 예를 들어 알루미늄 등의 금속에 의해 형성할 수 있다.
또한, 도시는 생략하지만, 볼록 형상부(4B)도 볼록 형상부(4A)와 마찬가지로 배치되어 있다. 볼록 형상부(4B)는 볼록 형상부(4A)와 거의 동일한 구성을 가지고 있으므로, 볼록 형상부(4B)에 대해 설명하는 것으로 하고, 볼록 형상부(4A)에 대한 중복되는 설명을 생략하는 경우가 있다.
도 2의 보조선(S)에 따른 단면도인 도 4를 참조하면, 볼록 형상부(4B)는 볼록 형상부(4B)가 2 분할되도록 반경 방향으로 연장되는 홈부(43)를 갖고, 홈부(43)에는 분리 가스 노즐(42)이 수용되어 있다. 분리 가스 노즐(42)은, 도 2에 도시한 바와 같이, 용기 본체(12)의 주위벽부로부터 진공 용기(1) 내로 도입되어 진공 용기(1)의 반경 방향으로 연장되어 있다. 또한, 분리 가스 노즐(42)은, 그 기단부가 용기 본체(12)의 외주벽에 부착되고, 이에 의해 서셉터(2)의 표면과 대략 평행하게 지지되어 있다. 또, 볼록 형상부(4A)에는 분리 가스 노즐(41)이 마찬가지로 배치되어 있다.
분리 가스 노즐(42 및 41)은, 분리 가스의 가스 공급원(도시하지 않음)에 접속되어 있다. 분리 가스는 질소(N2) 가스나 불활성 가스라도 좋고, 또한 성막에 영향을 주지 않는 가스이면, 분리 가스의 종류는 특별히 한정되지 않는다. 본 실시 형태에 있어서는, 분리 가스로서 N2 가스가 이용된다. 또한, 도 4에 도시한 바와 같이, 분리 가스 노즐(42)은 서셉터(2)의 표면을 향해 N2 가스를 토출하기 위한 토출 구멍(41h)을 가지고 있다. 토출 구멍(41h)은 약 0.5㎜의 구경을 갖고, 분리 가스 노즐(42)의 길이 방향을 따라서 약 10㎜의 간격으로 배열되어 있다. 또한, 분리 가스 노즐(42)의 하단부로부터 서셉터(2)의 표면까지의 간격은 0.5㎜ 내지 4㎜라도 좋다. 토출 구멍(41h)은, 분리 가스 노즐(41)에도 마찬가지로 형성되어 있다.
도 4에 도시한 바와 같이, 서셉터(2)와 볼록 형상부(4B)에 의해, 높이(h1)[볼록 형상부(4B)의 하면(44)의 서셉터(2)의 표면으로부터의 높이]를 갖는 분리 공간(H)이 형성된다. 높이(h1)는, 예를 들어 0.5㎜ 내지 10㎜이면 바람직하고, 가능한 한 작게 하면 더욱 바람직하다. 단, 서셉터(2)의 회전 떨림에 의해 서셉터(2)가 천장면(44)에 충돌하는 것을 피하기 위해, 높이(h1)는 3.5㎜ 내지 6.5㎜ 정도이면 바람직하다. 한편, 볼록 형상부(4B)의 양측에는, 서셉터(2)의 표면과 천장판(11)의 하면으로 형성되는 제1 영역(481)과 제2 영역(482)이 형성되어 있다. 제1 및 제2 영역(481, 482)의 높이[서셉터(2)로부터 천장판(11)까지의 높이]는, 예를 들어 15㎜ 내지 150㎜이다. 제1 영역(481)에는 반응 가스 노즐(31)이 설치되고, 제2 영역(482)에는 반응 가스 노즐(32)이 설치되어 있다. 이들의 반응 가스 노즐(31, 32)은, 도 1에 도시한 바와 같이, 용기 본체(12)의 외주벽으로부터 진공 용기(1) 내로 도입되어, 진공 용기(1)의 반경 방향으로 연장되어 있다. 반응 가스 노즐(31, 32)에는, 이들의 길이 방향으로 약 10㎜의 간격으로 배열되어, 약 0.5㎜의 구경을 갖고, 하부 방향으로 개구하는 복수의 토출 구멍(33)이 형성되어 있다(도 4). 반응 가스 노즐(31)로부터는 제1 반응 가스가 공급되고, 반응 가스 노즐(32)로부터는 제2 반응 가스가 공급된다. 본 실시 형태에서는, 반응 가스 노즐(31)에는 산화 실리콘막의 실리콘 원료인 비스터셜부틸아미노실란(BTBAS)의 공급원이 접속되고, 반응 가스 노즐(32)에는 BTBAS를 산화해서 산화 실리콘을 생성하는 산화 가스로서의 오존 가스(O3)의 공급원이 접속되어 있다.
분리 가스 노즐(42)로부터 N2 가스를 공급하면, 이 N2 가스는 분리 공간(H)으로부터 제1 영역(481)과 제2 영역(482)을 향해 흐른다. 분리 공간(H)의 높이(h1)가 제1 및 제2 영역(481, 482)에 비해 낮으므로, 분리 공간(H)에 있어서의 압력을 제1 및 제2 영역(481, 482)에 있어서의 압력보다도 쉽게 높게 유지할 수 있다. 환언하면, 제1 및 제2 영역(481, 482)에 있어서의 압력보다도 분리 공간(H)에 있어서의 압력을 높게 유지할 수 있도록, 볼록 형상부(4A)의 높이 및 폭, 및 분리 가스 노즐(41)로부터의 N2 가스의 공급량을 결정하면 바람직하다. 이 결정을 위해, 제1 및 제2 반응 가스나 서셉터(2)의 회전 속도 등을 고려하면 더욱 바람직하다. 이와 같이 하면, 분리 공간(H)은 제1 및 제2 영역(481, 482)에 대하여 압력 장벽을 제공할 수 있고, 이에 의해 제1 및 제2 영역(481, 482)을 확실하게 분리할 수 있다.
즉, 도 4에 있어서, 반응 가스 노즐(31)로부터 제1 반응 가스(예를 들어 BTBAS 가스)가 제1 영역(481)에 공급되고, 서셉터(2)의 회전에 의해 볼록 형상부(4B)를 향해 흘러도, 분리 공간(H)에 형성되는 압력 장벽에 의해, 분리 공간(H)을 빠져 나가 제2 영역(482)에 도달할 수는 없다. 반응 가스 노즐(32)로부터 제2 영역(482)에 공급되는 제2 반응 가스(예를 들어 O3 가스)도 또한 볼록 형상부(4B)(도 1)의 하방의 분리 공간(H)에 형성되는 압력 장벽에 의해, 분리 공간(H)을 빠져 나가 제1 영역(481)에 도달할 수는 없다. 즉, 제1 반응 가스(예를 들어 BTBAS 가스)와 제2 반응 가스(예를 들어 O3 가스)가 분리 공간(H)을 통해 혼합되는 것을 효과적으로 억제할 수 있다. 본 발명의 발명자들의 검토에 따르면, 이상의 구성에 의해, 서셉터(2)가 예를 들어 약 240rpm의 회전 속도로 회전한 경우라도, BTBAS 가스와 O3 가스를 보다 확실하게 분리할 수 있는 것을 알 수 있다.
다시 도 1을 참조하면, 천장판(11)의 하면에는 서셉터(2)를 고정하는 코어부(21)를 둘러싸도록 돌출부(5)가 부착되어 있다. 돌출부(5)는 서셉터(2)의 표면에 근접하고, 도시한 예에서는 돌출부(5)의 하면은 볼록 형상부(4A)(4B)의 하면(44)과 대략 같은 높이에 있다. 따라서, 돌출부(5)의 하면의 서셉터(2)로부터의 높이는, 하면(44)의 높이(h1)와 동일하다. 또한, 코어부(21)와 천장판(11)과의 간격과, 코어부(21)의 외주와 돌출부(5)의 내주와의 간격도, 높이(h1)와 대략 동등하게 설정되어 있다. 한편, 천장판(11)의 상부 중앙에는 분리 가스 공급관(51)이 접속되어 있고, 이에 의해 N2 가스가 공급된다. 분리 가스 공급관(51)으로부터 공급되는 N2 가스에 의해, 코어부(21)와 천장판(11) 사이의 공간, 코어부(21)의 외주와 돌출부(5)의 내주 사이의 공간, 및 돌출부(5)와 서셉터(2) 사이의 공간(이하, 설명의 편의상, 이들의 공간을 중앙 공간이라고 부르는 경우가 있음)은, 제1 및 제2 영역(481, 482)에 비해, 높은 압력을 가질 수 있다. 즉, 중앙 공간은 제1 및 제2 영역(481, 482)에 대하여 압력 장벽을 제공할 수 있고, 이에 의해 제1 및 제2 영역(481, 482)을 확실하게 분리할 수 있다. 즉, 제1 반응 가스(예를 들어 BTBAS 가스)와 제2 반응 가스(예를 들어 O3 가스)가 중앙 공간을 통해 혼합되는 것을 효과적으로 억제할 수 있다.
도 1에 도시한 바와 같이, 서셉터(2)와 용기 본체(12)의 저부 사이의 공간에는, 가열부로서의 링 형상의 히터 유닛(7)이 설치되고, 이에 의해 서셉터(2) 상의 웨이퍼(W)가, 서셉터(2)를 거쳐 소정의 온도로 가열된다. 또한, 블록 부재(71a)가 서셉터(2)의 하방 및 외주 부근에, 히터 유닛(7)을 둘러싸도록 설치되어 있다. 이로 인해, 히터 유닛(7)이 놓여져 있는 공간이 히터 유닛(7)의 외측 영역으로부터 구획되어 있다. 블록 부재(71a)보다 내측에 가스가 유입되는 것을 방지하기 위해, 블록 부재(71a)의 상면과 서셉터(2)의 하면 사이에 약간의 간극이 유지되도록 배치된다. 히터 유닛(7)이 수용되는 영역에는, 이 영역을 퍼지하기 위해, 복수의 퍼지 가스 공급관(73)이, 용기 본체(12)의 저부를 관통하도록 접속되어 있다. 복수의 퍼지 가스 공급관(73)은 용기 본체(12)의 저부에 있어서 소정의 간격을 두고, 예를 들어 등각도 간격으로 배치되어도 좋다. 또, 히터 유닛(7)의 상방에 있어서, 히터 유닛(7)을 보호하는 보호 플레이트(7a)가 블록 부재(71a)와 융기부(R)(후술)에 의해 지지되어 있고, 이에 의해 서셉터(2)의 하방 공간에 BTBAS 가스나 O3 가스가 가령 유입되었다고 해도, 히터 유닛(7)을 보호할 수 있다. 보호 플레이트(7a)는, 예를 들어 석영으로 제작하면 바람직하다.
또, 히터 유닛(7)은, 예를 들어 동심원 형상으로 배치되는 복수의 램프 히터에 의해 구성해도 좋다. 이에 따르면, 각 램프 히터를 독립적으로 제어함으로써, 서셉터(2)의 온도를 균일화할 수 있다.
도 1을 참조하면, 용기 본체(12)의 저부에는 링 형상의 히터 유닛(7)의 내측에 융기부(R)를 가지고 있다. 융기부(R)의 상면은 서셉터(2) 및 코어부(21)에 접근하고 있어, 융기부(R)의 상면과 서셉터(2)의 이면 사이 및 융기부(R)의 상면과 코어부(21)의 이면 사이에 약간의 간극을 남기고 있다. 또한, 용기 본체(12)의 저부는, 회전축(22)이 빠져 나가는 중심 구멍을 가지고 있다. 이 중심 구멍의 내경은, 회전축(22)의 지름보다도 약간 커, 플랜지부(20a)를 통해 케이스체(20)와 연통하는 간극을 남기고 있다. 케이스체(20)의 플랜지부(20a)의 상부에는, 퍼지 가스 공급관(72)이 접속되어 있다.
이와 같은 구성에 의해, 회전축(22)과 용기 본체(12)의 저부의 중심 구멍 사이의 간극, 코어부(21)와 서셉터(2)의 저부의 융기부(R) 사이의 간극 및 융기부(R)와 서셉터(2)의 이면 사이의 간극을 통해, 퍼지 가스 공급관(72)으로부터 히터 유닛(7) 아래의 공간으로 N2 가스가 흐른다. 또한, 퍼지 가스 공급관(73)으로부터 히터 유닛(7) 아래의 공간으로 N2 가스가 흐른다. 그리고 이들의 N2 가스는, 보호 플레이트(7a)와 서셉터(2)의 이면 사이의 간극을 통해, 후술하는 배기구(61)(62)로 유입한다. 이와 같이 흐르는 N2 가스는, BTBAS 가스(O3 가스)가 서셉터(2)의 하방 공간을 회류하여 O3 가스(BTBAS 가스)와 혼합되는 것을 억제하는 분리 가스로서 작용한다.
또한, 도 2에 도시한 바와 같이, 용기 본체(12)의 내주면과 서셉터(2)의 외주연 사이의 공간이며, 또한 볼록 형상부(4A)의 하부에 닿는 위치에 굴곡부(46A)가 설치되고, 볼록 형상부(4B)의 하부에 닿는 위치에 굴곡부(46B)가 설치되어 있다. 굴곡부(46A와 46B)는 마찬가지로 구성되어 있으므로, 도 1 및 도 2를 참조하면서, 굴곡부(46A)에 대해서 설명한다. 도시한 바와 같이, 굴곡부(46A)는 본 실시 형태에 있어서는, 볼록 형상부(4A)와 일체로 형성되어 있다. 굴곡부(46A)는 서셉터(2)와 용기 본체(12) 사이의 공간을 대략 메우고 있어, 반응 가스 노즐(31)로부터의 제1 반응 가스(BTBAS 가스)가, 이 공간을 통해 제2 반응 가스(O3 가스)와 혼합되는 것을 저지한다. 굴곡부(46)와 용기 본체(12) 사이의 간극 및 굴곡부(46)와 서셉터(2) 사이의 간극은, 예를 들어 서셉터(2)로부터 볼록 형상부(4)의 천장면(44)까지의 높이(h1)와 거의 동일해도 좋다. 또한, 굴곡부(46A)가 있으므로, 분리 가스 노즐(41)(도 1)로부터의 N2 가스는, 서셉터(2)의 외측을 향해 흐르기 어렵다. 따라서, 분리 공간(H)[볼록 형상부(4A)의 하면(44)과 서셉터(2) 사이의 공간]의 압력을 높게 유지하는데 도움이 된다. 또, 도시한 예에서는, 굴곡부(46)의 하방에 블록 부재(71b)가 설치되어 있어, 분리 가스가 서셉터(2)의 하방에까지 흐르는 것을 억제할 수 있으므로, 더욱 바람직하다.
또, 굴곡부(46A, 46B)와 서셉터(2) 사이의 간극은, 서셉터(2)의 열 팽창을 고려하여, 서셉터(2)가 후술하는 히터 유닛에 의해 가열된 경우에, 상기의 간격(h1 정도)이 되도록 설정하는 것이 바람직하다.
또한, 도 2에 도시한 바와 같이, 제1 영역(481)에 있어서, 용기 본체(12)의 일부가 외측으로 넓혀져 있고, 그 하방에 배기구(61)가 형성되고, 제2 영역(482)에 있어서도, 용기 본체(12)의 일부가 외측으로 넓혀져 있고, 그 하방에 배기구(62)가 형성되어 있다. 배기구(61, 62)는, 예를 들어 압력 조정기 및 터보 분자 펌프 등을 포함하는 배기 시스템(도시하지 않음)에 별도로 또는 공통적으로 접속되어, 이에 의해, 진공 용기(1) 내의 압력이 조정된다. 배기구(61 및 62)는, 각각 제1 영역(481) 및 제2 영역(482)에 대하여 형성되어 있으므로, 주로 제1 영역(481) 및 제2 영역(482)이 배기되고, 따라서 상술한 바와 같이, 제1 영역(481) 및 제2 영역(482)의 압력이 분리 공간(H)의 압력보다도 낮게 하는 것이 가능해진다. 또한, 배기구(61)는 반응 가스 노즐(31)과, 이 반응 가스 노즐(31)에 대하여 서셉터(2)의 회전 방향 A에 따른 하류측에 위치하는 볼록 형상부(4B) 사이에 설치되어 있다. 배기구(62)는 반응 가스 노즐(32)과, 이 반응 가스 노즐(32)에 대하여 서셉터(2)의 회전 A 방향에 따른 하류측에 위치하는 볼록 형상부(4A) 사이에 있어서, 볼록 형상부(4A)에 근접해서 설치되어 있다. 이에 의해, 반응 가스 노즐(31)로부터 공급되는 제1 반응 가스(예를 들어 BTBAS 가스)는 오로지 배기구(61)로부터 배기되고, 반응 가스 노즐(32)로부터 공급되는 제2 반응 가스(예를 들어 O3 가스)는 오로지 배기구(62)로부터 배기된다. 즉, 이러한 배기구(61, 62)의 배치는, 양쪽 반응 가스의 분리에 기여하고 있다.
또한, 본 실시 형태에 의한 성막 장치(200)에는, 도 2에 도시한 바와 같이, 장치 전체 동작의 컨트롤을 행하기 위한 제어부(100)가 설치되어 있다. 이 제어부(100)는, 예를 들어 컴퓨터로 구성되는 프로세스 컨트롤러(100a)와, 유저 인터페이스부(100b)와, 메모리 장치(100c)를 갖는다. 유저 인터페이스부(100b)는, 성막 장치의 동작 상황을 표시하는 디스플레이나, 성막 장치의 조작자가 프로세스 레시피를 선택하거나, 프로세스 관리자가 프로세스 레시피의 파라미터를 변경하거나 하기 위한 키보드나 터치 패널(도시하지 않음) 등을 갖는다.
메모리 장치(100c)는, 프로세스 컨트롤러(100a)에 여러 가지의 프로세스를 실시시키는 제어 프로그램, 프로세스 레시피 및 각종 프로세스에 있어서의 파라미터 등을 기억하고 있다. 또한, 이들의 프로그램에는, 예를 들어 후술하는 성막 방법을 행하게 하기 위한 스텝군을 가지고 있는 것이 있다. 이들의 제어 프로그램이나 프로세스 레시피는, 유저 인터페이스부(100b)로부터의 지시에 따라서, 프로세스 컨트롤러(100a)에 의해 판독되어 제어부(100)에 의해 실행된다. 또한, 이들의 프로그램은, 컴퓨터 판독 가능 기억 매체(100d)에 저장되고, 이들에 대응한 입출력 장치(도시하지 않음)를 통해 메모리 장치(100c)에 인스톨해도 좋다. 컴퓨터 판독 가능 기억 매체(100d)는, 하드 디스크, CD, CD-R/RW, DVD-R/RW, 플렉시블 디스크, 반도체 메모리 등이라도 좋다. 또한, 프로그램은 통신회선을 통해 메모리 장치(100c)에 다운로드해도 좋다.
본 실시 형태에 의한 기판 위치 검출 장치를 구비하는 성막 장치가 발휘하는 효과 및 이점은, 이하의 기판 위치 검출 방법 및 성막 방법에 관한 설명으로부터 쉽게 이해된다.
(제2 실시 형태)
도 1에서 도 4까지에다가 도 5를 참조하면서, 본 발명의 제1 실시 형태에 의한 성막 장치(200)를 사용해서 실시될 수 있는 성막 방법을 설명한다. 이 성막 방법에는, 본 발명의 제2 실시 형태에 의한 기판 위치 검출 방법이 포함된다.
처음에, 스텝 S501에 있어서, 서셉터(2)를 회전하여 서셉터(2)의 복수의 적재부(24) 중 하나를 반송구(15)(도 2 참조)를 향하는 위치로 이동시킨다.
다음에, 스텝 S502에 있어서, 이 적재부(24)의 위치가 검출된다. 구체적으로는, 우선 기판 위치 검출 장치(101)의 광원(108)이 점등하고, 패널(106)의 하면에 빛이 조사된다. 그리고 기판 위치 검출 장치(101)의 카메라(104)에 의해, 패널(106)에 의해 간접적으로 조명되는 서셉터(2)의 엣지를 포함하는 영역이 촬상되고, 제어부(104a)에 의해 화상 데이터가 수집된다. 이때의 화상의 일례를 도 6에 도시한다. 도 6에 도시한 바와 같이, 서셉터(2)에 형성된 2개의 서셉터 마크(2a)와, 진공 용기(1)의 용기 본체(12)의 저부에 형성된 2개의 챔버 마크(120a)가 관찰되어 있다.
도 7에 도시한 바와 같이 2개의 서셉터 마크(2a)는, 적재부(24)의 중심(C)과 서셉터(2)의 회전 중심(RC)을 통과하는 직선에 대하여 대칭으로 배치되어 있다. 환언하면, 2개의 서셉터 마크(2a)의 각각의 중심을 잇는 선분(L)에 대하여, 서셉터(2)의 회전 중심(RC)으로부터 수선(N)을 내리면, 이 수선(N)은 적재부(24)의 중심(C)을 통해 선분(L)의 중점과 교차한다[즉, 수선(N)은 선분(L)의 수직 이등분선임].
또한, 2개의 챔버 마크(120a)는, 이들을 잇는 선분의 수직 이등분선이 서셉터(2)의 회전 중심(RC)을 통과하도록 설치되어 있다.
기판 위치 검출 장치(101)의 카메라(104)에 의해 촬상된 화상이 도 7과 같다라고 가정하고, 적재부(24)의 위치 어긋남 검출의 일례를 구체적으로 설명한다. 도 7에서는, 설명의 편의상, 적재부(24)가 벗어난 경우를 도시하고 있다. 우선, CCD 카메라의 화소 중, 2개의 챔버 마크(120a) 중 한쪽 중심에 대응하는 화소와, 다른 쪽 중심에 대응하는 화소가 특정된다. 이에 의해, 2개의 챔버 마크(120a)의 중심을 통과하는 직선 X(X축)와, 그 직선과 수직으로 서셉터(2)의 회전 중심(RC)을 통과하는 직선 Y(Y축)가 화소 상에서 구해진다. 이들에 의해 X-Y 좌표가 형성된다.
다음에, CCD 카메라의 화소 중, 2개의 서셉터 마크(2a) 중 한쪽 중심에 대응하는 화소와, 다른 쪽 중심에 대응하는 화소가 특정되어, 이들을 기초로 하여, 수선(N)이 특정된다. 계속해서, 수선(N)의 종점[서셉터(2)의 회전 중심(RC)과 반대측의 점]으로부터 Y축으로 내린 수선(Ny)의 길이가 구해진다. 수선(N)의 길이와 수선(Ny)의 길이로부터, 수선(N)과 Y축이 이루는 각 θM[= tan-1(Ny/N)]이 구해진다. 수선(N)이나 수선(Ny)의 길이는, 각 수선에 대응하는 화소의 열에 있어서의 화소의 수를, 단위 길이당의 화소수를 이용해 환산함으로써 구할 수 있다.
계속해서, 스텝 S503에 있어서, 적재부(24)가 소정의 범위에 있는지의 여부가 판정된다. 구체적으로는, 상기 각도 θM이 소정의 각도 범위에 있는지의 여부가 판정된다. 적재부(24)의 위치가 소정의 범위를 초과해 어긋나 있으면, 웨이퍼(W)를 적재부(24)에 수납할 수 없는 사태가 된다. 환언하면, 소정의 각도 범위는 적재부(24)의 내경[예를 들어, 약 300㎜(12인치)의 지름을 갖는 웨이퍼(W)에 대하여, 예를 들어 약 304㎜ 내지 약 308㎜]을 고려해서 결정하면 바람직하다. 또, 각도 θM 대신에, 수선(Ny)의 길이가 소정의 길이 범위에 있는지의 여부에 의해, 적재부(24)의 위치를 판정해도 좋다. 또한, 선분(L)이 X축과 평행한지(평행으로부터의 어긋남이 소정의 범위 내에 있는지)에 의해, 적재부(24)의 위치를 판정해도 좋다. 또한, 서셉터 마크(2a)와 회전 중심(RC)을 잇는 선분과, Y축이 이루는 각을 기초로 하여 적재부(24)의 위치를 판정해도 상관없다.
또한, 2개의 서셉터 마크(2a)의 중점이 2개의 챔버 마크(120a)의 수직 이등분선인 수선(N)(Y축)으로부터 어느 정도 어긋나 있는지에 의해, 적재부(24)의 위치를 판정해도 좋다.
판정 결과, 적재부(24)가 소정의 범위에 없다고 판정되면(스텝 S503 : 아니오), 기판 검출 장치(101)의 제어부(104a)로부터, 소정의 범위에 없는 것을 나타내는 알람이 발하게 되거나, 또한/또는 제어부(104a)로부터 성막 장치(200)에 대하여, 성막 장치(200)를 정지해야 하는 것을 나타내는 신호가 송신되어, 이에 의해 성막 장치(200)가 정지된다. 이에 따라서, 예를 들어 성막 장치(200)의 조작자가 수작업으로 적재부(24)의 위치를 수정할 수 있다. 또한, 성막 장치(200)의 구동부(23)에 의해 서셉터(2)를 회전시켜 서셉터(2)의 위치를 수정할 수 있다(스텝 S504).
스텝 S503에 있어서, 적재부(24)가 소정의 범위에 있다고 판정되면(스텝 S503 : 예), 스텝 S505에 있어서 웨이퍼(W)가 적재부(24)에 적재된다. 구체적으로는, 웨이퍼(W)가 포크를 갖는 반송 아암(10A)(도 2 참조)에 의해, 성막 장치(200)의 챔버(12) 내로 반입되어, 리프트 핀(16a)에 의해 상하 이동되는 푸셔(2P)에 의해 반송 아암(10A)으로부터 적재부(24)에 적재된다.
계속해서, 스텝 S506에 있어서, 기판 위치 검출 장치(101)의 카메라(104)에 의해, 패널(106)에 의해 간접적으로 조명되는 웨이퍼(W)의 엣지를 포함하는 영역과 그 주변의 서셉터(2)가 촬상되어, 제어부(104a)에 의해 화상 데이터가 수집된다. 카메라(104)에 의해 얻게 된 화상의 일례를 나타내면, 도 8의 모식도와 같다. 웨이퍼(W)는 대략 균일하게 백색으로 보이고, 서셉터(2)는 카본으로 제작되므로 흑색으로 보인다. 또, 도면 중, 웨이퍼(W)에 보이는 검은 직사각형(K)은, 웨이퍼(W)에 비친, 패널(106)의 개구부(106b)이다.
다음에, 제어부(104a)는 카메라(104)에 의해 얻게 된 화상에 있어서, 웨이퍼(W)의 엣지 라인을 검출한다. 이 검출은, 제어부(104a)에 미리 구비된 엣지 검출 기능을 이용하여 행해도 좋다. 계속해서, 예를 들어 엣지 라인에 접하는 복수의 접선과 그 접점에 있어서 교차하는 직선이 교차되는 점(좌표)을 구함으로써, 웨이퍼(W)의 중심(WO)(도 9)의 위치를 추정할 수 있다(스텝 S507).
계속해서, 추정된 웨이퍼(W)의 중심(WO)의 위치와 적재부(24)의 중심(C)의 위치와의 거리(d)가 구해진다. 여기서, 도 9에 도시하는 X1-Y1 좌표축에 있어서, 적재부(24)의 중심(C)이 점(XC, YC)으로 나타내고, 웨이퍼(W)의 중심(WO)이 점(XW, YW)으로 나타내는 것으로 하면,
[식 1]
d2 = [(XW - XC)2 + (YW - YC)2]/CF2
라고 하는 관계식이 성립된다. [식 1]에 있어서, CF는 환산 계수이며, 예를 들어 CCD 상의 화소간의 거리에 대한 실제 치수의 비를 나타내고 있다. 또한, 적재부(24)의 중심(C)은 스텝 S502에서 구한 2개의 서셉터 마크(2a)의 위치를 기초로 하여 특정할 수 있다[선분(N)에 있어서, 서셉터(2)의 회전 중심(RC)으로부터 적재부(24)의 중심(C)까지의 거리는 이미 알고 있음].
이 후, [식 1]을 기초로 하여 구한 거리(d)를 이용하여, 웨이퍼(W)가 소정의 범위 내에 있는지의 여부가 판정된다(스텝 S508). 예를 들어, DWmm의 지름을 갖는 웨이퍼(W)에 대하여, 적재부(24)가 오목부이며, 그 내경이 D0mm인 경우,
[식 2]
0 ≤ d2 ≤ l2
[식 3]
l = (DO - DW)/2
라고 하는 관계를 충족시킬 때는, 웨이퍼(W)의 중심(WO)은 적재부(24)의 중심(C)을 중심으로 하는 반경(l)의 원(R)의 내측에 들어가게 된다. 즉, 이 경우, 웨이퍼(W)는 적재부(24)에 수납되게 되어, 웨이퍼(W)의 위치는 소정의 범위 내에 있다고 판정된다.
거리(d)가 소정의 범위 내에 있는 경우(스텝 S508 : 예), 제어부(104a)로부터 성막 장치(200)에 대하여 웨이퍼(W)의 반입이 종료되었는지의 여부가 확인되고(스텝 S509), 나머지 웨이퍼(W)가 있다는 정보를 얻은 경우에는, 스텝 S501로 복귀된다. 즉, 성막 장치(200)의 서셉터(2)가 회전하고, 다음 적재부(24)가 촬상 위치로 이동되어, 이 후, 이 적재부(24)와, 이 적재부(24)에 적재되는 웨이퍼(W)에 대하여 상기 일련의 동작이 행해진다.
또한, 거리(d)가 소정의 범위 내에 없다고 판정된 경우에는(스텝 S508 : 아니오), 제어부(104a)로부터 알람이 발해지고, 제어부(104a)로부터 성막 장치(200)에 대하여 동작의 중지를 구하는 신호가 송신되어(스텝 S510), 이에 의해 성막 장치(200)가 대기 상태가 된다. 이 경우, 성막 장치(200)의 조작자에 의해, 소정의 순서를 따라 소정의 위치에 없다고 판정된 웨이퍼(W)를 소정의 위치에 적재하는 등의 수동 작업이 행해진다.
스텝 S509에 있어서, 나머지 웨이퍼(W)가 없는, 즉 모든(5매의) 웨이퍼(W)가 소정의 위치에 있다고 판정되면(스텝 S509 : 아니오), 성막 장치(200)에 있어서의 성막이 개시된다(스텝 S511).
구체적으로는, 진공 용기(1) 내가 도시하지 않은 배기 시스템에 의해 배기 되는 동시에, 분리 가스 노즐(41, 42), 분리 가스 공급관(51), 퍼지 가스 공급관(72, 73)으로부터 N2 가스가 공급되고, 도시하지 않은 압력 조정기에 의해 진공 용기(1) 내의 압력이 미리 설정한 압력으로 유지된다. 계속해서, 서셉터(2)가 위에서 보아 시계 방향으로 회전을 개시한다. 서셉터(2)는, 히터 유닛(7)에 의해 미리 소정의 온도(예를 들어 300도)로 가열되고 있으며, 웨이퍼(W)가 서셉터(2)에 적재됨으로써 가열된다. 웨이퍼(W)가 가열되어, 소정의 온도로 유지된 후, BTBAS 가스가 반응 가스 노즐(31)을 통해 제1 영역(481)에 공급되고, O3 가스가 반응 가스 노즐(32)을 통해 제2 영역(482)에 공급된다.
웨이퍼(W)가 반응 가스 노즐(31)의 하방을 통과할 때에, 웨이퍼(W)의 표면에 BTBAS 분자가 흡착되고, 반응 가스 노즐(32)의 하방을 통과할 때에, 웨이퍼(W)의 표면에 O3 분자가 흡착되어, O3에 의해 BTBAS 분자가 산화된다. 따라서, 서셉터(2)의 회전에 의해 웨이퍼(W)가 제1 영역(481) 및 제2 영역(482)의 양쪽을 1회 통과하면, 웨이퍼(W)의 표면에 산화 실리콘의 1분자층(또는 2 이상의 분자층)이 형성된다. 이것이 반복되어, 소정의 막 두께를 갖는 산화 실리콘막이 웨이퍼(W)의 표면에 퇴적된다. 소정의 막 두께를 갖는 산화 실리콘막이 퇴적된 후, BTBAS 가스와 O3 가스의 공급을 정지하고, 서셉터(2)의 회전을 정지한다. 그리고 웨이퍼(W)는 반입 동작과 반대의 동작에 의해, 반송 아암(10A)에 의해 진공 용기(1)로부터 반출되어, 성막이 종료된다.
이상, 본 발명의 실시 형태에 의한 기판 위치 검출 방법을 포함하는 성막 방법에 따르면, 진공 용기(1)의 용기 본체(12)의 저부에 형성된 2개의 챔버 마크(120a)에 의해, X-Y 좌표가 획정되고, 이에 대해, 서셉터(2)에 형성된 2개의 서셉터 마크(2a)로부터 얻어지는, 서셉터(2)[적재부(24)]의 X-Y 좌표에 대한 각도 어긋남을 기초로 하여 적재부(24)의 위치가 검출된다. 챔버 마크(120a)에 의해 정해지는 X-Y 좌표를 기준으로 하기 때문에, 기판 위치 검출 장치(101)[카메라(104)]는, 성막 장치(200)의 진공 용기(1)에 대하여 높은 정밀도로 위치 결정될 필요가 없다. 기판 위치 검출 장치(101)의 카메라(104)에 의해, 서셉터 마크(2a), 챔버 마크(120a), 및 서셉터(2)의 엣지 주변 영역이 관찰될 정도의 정밀도로 위치 결정되면 좋다. 따라서, 진공 용기(1)의 보수 등의 후에, 비교적 긴 시간을 들여 기판 위치 검출 장치(101)를 높은 정밀도로 위치 결정할 필요가 없어, 따라서 성막 장치(200)의 이용 효율을 높게 할 수 있다.
또한, 2개의 챔버 마크(120a) 및 2개의 서셉터 마크(2a)를 이용하여, 적재부(24)의 위치 검출을 행하기 위해, 위치 어긋남을 각도로 구할 수 있다. 따라서, 구동부(23)가 예를 들어 펄스 모터 등으로 구성되는 경우에는, 스텝 S502에서 얻어진 각도 θM에 따라서, 구동부(23)에 펄스 신호를 공급함으로써, 서셉터(2)의 위치를 수정하는 것도 가능해진다. 무엇보다, 스텝 S503에 있어서, 각도 θM이 아닌, 예를 들어 상술한 수선(Ny)(즉 길이 어긋남)을 기초로 하여 위치 판정을 행해도 좋다.
또한, 본 발명의 실시 형태에 의한 기판 위치 검출 장치(101)에 따르면, 패널(106)은 상술한 바와 같이 백색 안료가 도포된 아크릴판으로 제작되어 있으므로, 패널(106)의 하면[웨이퍼(W)를 향한 면]에 대하여 광원(108)으로부터 빛을 조사하면, 패널(106) 전체가 대략 균일하게 백색으로 발광하게 된다. 이때, 패널(106)의 하방에 배치되는 웨이퍼(W)는, 대략 균일하게 백색으로 발광하는 패널(106)에 비추이므로, 또는 이와 같이 발광하는 패널(106)이 비치므로, 균일하게 백색으로 보인다. 따라서, 카메라(104)에 의해 촬영되는 화상에 있어서도, 웨이퍼(W)의 엣지를 포함하는 영역이 균일하게 빛나 보인다. 한편, 웨이퍼(W)가 적재되는 서셉터(2)는, 카본이나 SiC 코트 카본으로 제작되는 경우도 있어, 패널(106)로부터의 빛에 비추어도 검게 보인다. 따라서, 웨이퍼(W)와 서셉터(2) 사이에 큰 콘트라스트가 발생한다. 또한, 패널(106)로부터, 빛이 여러 방향으로부터 웨이퍼(W) 및 서셉터(2)에 도달하므로, 웨이퍼(W)나 적재부(24)에 의한 그림자가 생기기 어렵다. 따라서, 웨이퍼(W)의 엣지는 명료하게 인식되어, 검출 오차의 저감이 방지된다.
또한, 패널(106)이 전체면에서 균일하게 발광하고 있으므로, 웨이퍼(W)의 엣지(베벨부)로부터의 강한 반사가 없어, 엣지로부터의 반사광에 수반하는 검출 오차를 줄일 수 있다. 또한, 웨이퍼 표면으로부터의 강한 반사광도 없어, 카메라(104)에 있어서 플레어 등이 발생하는 일도 없으므로, 웨이퍼(W)의 엣지를 명료하게 인식하는 것이 가능해진다.
(제3 실시 형태)
다음에, 본 발명의 제3 실시 형태에 의한 기판 위치 검출 방법에 대해서, 도 11에서 도 15까지를 참조하면서 설명한다. 본 실시 형태에 의한 기판 위치 검출 방법은, 상술한 제2 실시 형태에 의한 성막 방법에 있어서의 기판 위치 검출 방법 대신에, 그 성막 방법에 있어서 실시할 수 있다.
도 11을 참조하면, 본 실시 형태에 의한 기판 위치 검출 방법에 있어서의 스텝 S101 및 S102는, 상술한 스텝 S501 및 S502와 같다. 그로 인해, 중복되는 설명은 생략한다.
스텝 103에 있어서, 적재부(24)가 제1 범위에 있는지가 판정된다. 여기서, 제1 범위는, 예를 들어 도 3에 도시하는 리프트 핀(16a)이 푸셔(2P)를 지지 가능한 범위이면 좋다. 판정 결과, 적재부(24)가 제1 범위에 없다고 판정되면(스텝 S103 : 아니오), 기판 검출 장치(101)의 제어부(104a)로부터, 제1 범위에 없는 것을 나타내는 알람이 발해지거나, 또한/또는 제어부(104a)로부터 성막 장치(200)에 대하여, 성막 장치(200)를 정지해야 하는 것을 나타내는 신호가 송신되어, 이에 의해 성막 장치(200)가 정지된다. 이에 따라서, 예를 들어 성막 장치(200)의 조작자가 수작업으로 서셉터(2)의 위치를 수정할 수 있다. 또한, 성막 장치(200)의 구동부(23)에 의해 서셉터(2)를 회전시켜 적재부(24)의 위치를 수정할 수 있다(스텝 S104).
스텝 S103에 있어서의 판정 결과, 적재부(24)가 제1 범위에 있다고 판정된 경우에는(스텝 S103 : 예), 계속해서 적재부(24)가 제2 범위에 있는지가 판정된다(스텝 S201). 제2 범위는, 제1 범위보다도 좁게 설정된다. 적재부(24)가 제2 범위에 있다고 판정된 경우에는(스텝 S201 : 예), 계속해서, 스텝 S105에서 S111까지의 스텝이 행해진다. 스텝 S105에서 S111까지는, 도 5를 참조하면서 설명한, 각각 스텝 S505에서 S511까지 대응하고 있으므로, 중복되는 설명을 생략한다.
스텝 S201에 있어서, 적재부(24)가 제2 범위에 없다고 판정된 경우에는(도 12의 스텝 S201 : 아니오), 반송 아암(10A)이 진공 용기(1)(도 2) 내로 진입하고, 도 13에 도시한 바와 같이, 웨이퍼(W)를 적재부(24)의 상방에 보유 지지한다(스텝 S202). 다음에, 기판 위치 검출 장치(101)에 의해, 반송 아암(10A)에 의해 적재부(24)의 상방에 보유 지지되는 웨이퍼(W)의 엣지가 촬상되어(스텝 S202), 상술한 스텝 S507(도 5)에 있어서 행한 것과 마찬가지의 방법에 의해, 웨이퍼(W)의 중심 위치(WO)가 추정된다(스텝 S203). 단, 도 5의 스텝 S506 및 S507에서는 웨이퍼(W)가 적재부(24)에 적재되어 있던 것과는 달리, 스텝 S203 및 S204에 있어서는, 웨이퍼(W)는 적재부(24)보다도 높은 높이(hw)로 보유 지지되고 있으므로, 높이 보정을 행함으로써, 적재부(24)에 웨이퍼(W)가 적재되었을 때의 웨이퍼(W)의 위치를 추정 할 필요가 있다[높이 보정을 하지 않을 경우에는, 웨이퍼(W)의 엣지가 도 14에 도시하는 점 E에 위치하도록 인식되므로, 엣지 검출에 의한 웨이퍼(W)의 중심 위치(WO)의 추정에 에러가 발생할 수 있음].
구체적으로는, 도 14에 도시한 바와 같이, 카메라(104)의 바로 아래에 위치하는 웨이퍼(W) 상의 점에 대응하는 화소와, 웨이퍼(W)의 엣지 상의 임의의 점에 대응하는 화소와의 거리를 구하고, 웨이퍼(W)의 높이(hw)에 있어서의 환산 계수[CCD 상의 화소 간의 거리에 대한, 높이(hw)에 있어서의 웨이퍼(W) 상의 실제 치수의 비]를 이용하여, 대응하는 웨이퍼(W) 상의 거리(n)를 구한다. 이 거리(n)는, 높이(hw)에 있어서도 적재부(24)에 있어서도 동등하므로, 적재부(24)에 적재된 웨이퍼(W)에 있어서의, 카메라(104)의 바로 아래로부터의 거리(n)에 대응하는 화소는, 웨이퍼(W)가 적재부(24)에 적재되어 있을 때의 환산 계수(CF)를 이용한 계산에 의해 구할 수 있다. 이와 같이 하여, 반송 아암(10A)에 의해 보유 지지되는 웨이퍼(W)의 엣지 위치로부터, 적재부(24)에 있어서의 엣지 위치가 구해진다.
또는, 반송 아암(10A)에 의해 보유 지지되는 웨이퍼(W)의 적재부(24)로부터의 높이(hw)에 대하여, 이것보다도 작은 Δhw에 상당하는 거리만큼, 반송 아암(10A)에 의해 보유 지지되는 웨이퍼(W)를 리프트 핀(16a) 및 푸셔(2P)에 의해 상하 방향으로 이동하면서, 웨이퍼(W)의 엣지 상의 임의의 점의 화소 상에서의 이동 거리를 구해도 좋다. Δhw의 변동 사이로 이동한(수평 방향) 거리를 기초로 하여, hw만큼 이동했을 때[웨이퍼(W)를 적재부(24)에 적재했을 때]의 웨이퍼(W)의 엣지 위치를 추정할 수 있다.
이상의 높이 보정을 행함으로써 얻은, 웨이퍼(W)의 적재부(24)에 있어서의 엣지 위치를 기초로 하여 엣지 검출을 행하고(위에서는 1점만을 설명했지만, 엣지 상의 복수의 점에 대해서 행해짐), 웨이퍼(W)를 적재부(24)에 적재했을 때의 웨이퍼(W)의 중심 위치(WO)가 구해진다(스텝 S204). 계속해서, 이와 같이 하여 얻어진 중심 위치(WO)와, 적재부(24)의 중심(C)과의 편차(Dwc)(도 15 참조)가 구해진다(스텝 S205). 여기서, 적재부(24)의 중심(C)은, 스텝 S102에 있어서 얻어진 화상을 기초로 하여 구할 수 있다. 이 후, 스텝 S206에 있어서, 편차(Dwc)를 상쇄하도록 반송 아암(10A)의 위치가 보정된다.
또, 반송 아암(10A)에는, 도 2에 도시한 바와 같이, 반송 아암(10A)을 X축 방향(도 7 및 8을 참조)으로 이동하는 X축 방향 구동부(10X)와, 반송 아암(10A)을 Y축 방향(도 7 및 8을 참조)으로 이동하는 Y축 방향 구동부(10Y)가 설치되어 있고, 상기 편차(Dwc)를 Dwc(ΔX, ΔY)라고 나타낸 경우에, 반송 아암(10A)을, X축 방향 구동부(10X)에 의해 ΔX만큼 이동하고, Y축 방향 구동부(10Y)에 의해 ΔY만큼 이동함으로써, 반송 아암(10A)의 위치 보정을 행할 수 있다. 또한, X축 방향 구동부(10X)[또는 Y축 방향 구동부(10Y)]는, 예를 들어 모터, 인코더 및 펄스 카운터(모두 도시하지 않음)를 갖고, 이들에 의해, 반송 아암(10A)을 ΔX(또는 ΔY)만큼 이동시키는 것이 가능하다. 또한, 상술한 웨이퍼(W)의 중심 위치(WO)의 추정, 편차(Dwc)의 산출, 반송 아암(10A)의 이동 등은, 제어부(100)에 의해 행해진다.
계속해서, 반송 아암(10A)으로부터 푸셔(2P)(도 3)로 웨이퍼(W)가 전달되어, 적재부(24)에 적재된다. 이 후, 적재부(24)에 적재되어야 할 웨이퍼(W)가 있는지 여부가 판정된다(도 11 : 스텝 S109). 나머지 웨이퍼(W)가 있는 경우에는(스텝 S109 : 예), 스텝 S101로 복귀된다. 즉, 성막 장치(200)의 서셉터(2)가 회전하고, 다음 적재부(24)가 촬상 위치로 이동되어, 이 후, 이 적재부(24)와, 이 적재부(24)에 적재되는 웨이퍼(W)에 대하여 상기 일련의 동작이 행해진다. 또한, 스텝 S109에 있어서, 나머지 웨이퍼(W)가 없는, 즉 모든(5매의) 웨이퍼(W)가 소정의 위치에 있다고 판정되면(스텝 S109 : 아니오), 성막 장치(200)에 있어서의 성막이 개시된다(스텝 S111).
본 실시 형태에 있어서는, 적재부(24)가 제1 범위에는 있지만, 제2 범위에 없는 경우(스텝 S201 : 아니오)에 있어서, 반송 아암(10A)에 의해 적재부(24)의 상방에 보유 지지되는 웨이퍼(W)를 기초로 하여, 그 웨이퍼(W)가 적재부(24)에 적재되었을 때의 중심 위치(WO)가 추정된다. 그리고 적재부(24)의 중심(C)과 웨이퍼(W)의 중심 위치(WO) 사이의 편차가 구해지고, 이 편차를 상쇄하도록 반송 아암(10A)의 위치가 조정되어, 웨이퍼(W)가 적재부(24)에 적재된다. 반송 아암(10A)에 의해 웨이퍼(W)의 중심 위치(WO)가 적재부(24)의 중심(C)에 합치하도록 조정되므로, 웨이퍼(W)를 보다 높은 정밀도로 적재부(24)에 적재하는 것이 가능해진다.
서셉터(2)의 회전 속도, 반응 가스나 분리 가스의 공급량 및 성막 시에 있어서의 진공 용기(1) 내의 압력에 따라서는, 오목부로서의 적재부(24)의 내주벽과 웨이퍼(W)의 엣지 사이의 간극에 의해, 서셉터(2)의 상방을 흐르는 가스에 난류나 가스 정체가 발생하는 경우가 있다. 난류나 가스 정체가 발생하면, 웨이퍼(W)의 특히 주연부에 있어서, 다른 부분에 비해 막 두께가 변화되거나, 막질이 변화되거나 할 가능성이 있다. 이것을 방지하기 위한 하나의 방법으로서, 적재부(24)의 내경을, 예를 들어 303㎜에서 305㎜까지 작게 하는 것이 고려된다.
이 경우에는, 웨이퍼(W)의 엣지와 적재부(24)의 내주벽이 근접하고, 패널(106)(도 1)을 사용해서 웨이퍼(W)를 간접적으로 조명했다고 해도 웨이퍼(W)의 엣지 검출에 에러가 발생할 가능성이 높아질 우려가 있다. 그 결과, 웨이퍼(W)를 적재부(24)에 정확하게 적재하는 것이 어려워져, 예를 들어 웨이퍼(W)가 적재부(24)로부터 밀려 나와, 기울어져 버리는 사태가 될지도 모른다. 그렇다면, 이 웨이퍼(W)의 위치를 수정하는데 긴 시간이 걸리게 된다. 본 실시 형태에 의한 기판 위치 검출 방법은, 특히 적재부(24)의 내경과 웨이퍼(W)의 외경의 차가 작은 경우에, 웨이퍼(W)를 적재부(24)에 의해 고정밀도로 적재할 수 있는 점에서 유효하다.
또한, 웨이퍼(W)가 적재부(24)에 적재되기 전에, 반송 아암(10A) 나아가서는 웨이퍼(W)의 위치가 조정되므로, 웨이퍼(W)를 적재부(24)에 적재한 후에, 적재부(24) 내에 있어서의 웨이퍼(W)의 위치 검출을 불필요로 할 수 있다고 하는 이점이 있다.
이상, 몇 개의 실시 형태를 참조하면서 본 발명을 설명했지만, 본 발명은 개시한 실시 형태에 한정되는 것은 아니고, 첨부한 특허 청구의 범위에 비추어, 다양하게 변경 및 변형을 할 수 있다.
예를 들어, 본 발명의 실시 형태에 의한 기판 위치 검출 장치는, ALD 장치로 서의 성막 장치(200)에 부착되어 있었지만, 이에 한정되지 않으며, 다른 낱장식의 성막 장치에 적용되어도 좋다. 또한, 본 발명의 실시 형태에 의한 기판 위치 검출 장치는, 에칭 장치나 스패터 장치를 비롯한 다양한 반도체 제조 장치에 적용할 수 있다.
또한, 적재부(24)의 위치 검출 시(스텝 S502)에는, 기판 위치 검출 장치(101)의 광원(108)을 하방을 향해 서셉터(2)를 직접적으로 조명해도 좋다. 이때에는, 적재부(24)에는 웨이퍼가 적재되어 있지 않으므로, 웨이퍼로부터의 반사광의 영향이 없다. 이로 인해, 광원(108)으로부터 직접적으로 빛을 조사함으로써, 서셉터 마크(2a)를 검출하기 쉬워진다고 하는 이점이 있다.
상술한 기판 위치 검출 장치(101)에 있어서, 광원(108)은 패널(106)과 창(102a) 사이에 배치되어 있었지만, 도 10에 도시한 바와 같이, 패널(106)의 상방에 있어서 하우징(102)의 내벽에 광원(109)을 부착하고, 광원(109)으로부터 패널(106)의 상면[카메라(104)를 향하는 면]에 빛을 조사해도 좋다. 광원(109)은 광원(108)과 마찬가지로 백색 LED를 포함하고 있다. 이 경우라도, 패널(106)은 광 산란성을 가지고 있으므로, 조사광은 패널(106) 내를 투과할 때에 다양한 각도로 산란되어, 패널의 양면 사이에서의 다중 반사도 발생하는 경우도 있어, 패널(106)의 전체면이 거의 동일한 광 강도로 발광하게 된다. 따라서, 본 발명의 실시 형태에 의한 기판 위치 검출 장치의 효과가 발휘된다. 또, 도 10에 도시한 바와 같이, 광원(109)뿐만 아니라, 패널(106)과 창(102a) 사이의 광원(108)도 마련해 두면 좋다. 서셉터(2)[적재부(24)]의 위치 검출 시에는, 이 광원(108)에 의해 웨이퍼(W)에 빛을 직접적으로 조사해도 좋다.
패널(106)은, 상기 실시 형태에 있어서는, 백색 안료가 도포된 유백색의 아크릴판으로 제작되었지만, 이에 한정되지 않으며, 패널(106)에 의해 웨이퍼(W)가 균일하게 빛나 보이는 한 여러 가지의 재료로 제작해도 좋다. 예를 들어, 패널(106)은 실리카 입자나 실리콘 폴리머 입자 등의 광산란 입자를 포함하는 수지에 의해 제작해도 좋고, 표면이 조면화된 수지판 또는 글래스판으로 제작해도 좋다. 물론, 투명한 수지판이나 글래스판으로 패널(106)을 제작하고, 일면 또는 양면을 조면화해도 좋다. 조면화는, 예를 들어 샌드블라스트, 지석 등을 사용하는 기계적 연삭, 또는 에칭에 의해 행할 수 있다. 또한, 표면에 마이크로 렌즈 어레이가 형성된 수지판이나 글래스판으로 패널(106)을 형성해도 좋다.
또한, 패널(106)은 평판일 필요는 없고, 카메라(104)에 웨이퍼(W) 및 그 주변을 촬상시키는 개구부(106a)를 갖는 한, 돔 형상, 원뿔대 형상, 또는 각뿔대 형상(상하의 방향을 막론하고)이라도 좋다.
또한, 상술한 제3 실시 형태를 이하와 같이 변형하는 것도 가능하다. 스텝 S103에 있어서 적재부(24)가 제1 범위에 있다고 판정된 경우에(스텝 S103 : 예), 적재부(24)가 제2 범위에 있다고 판정하는 일 없이 스텝 S201로 진행되고, 스텝 S207 후에, 스텝 S109로 복귀되도록 해도 좋다. 이와 같이 해도, 반송 아암(10A)의 위치 조정에 의해, 웨이퍼(W)를 적재부(24)에 위치 어긋남 없이 적재할 수 있다.
본 발명의 실시 형태에 의한 성막 장치(200)는, 산화 실리콘막의 성막에 한정되지 않고, 질화 실리콘의 분자층 성막에도 적용할 수 있다. 또한, 트리메틸 알루미늄(TMA)과 O3 가스를 이용한 산화알루미늄(Al2O3)의 분자층 성막, 테트라키스에틸메틸아미노지르코늄(TEMAZr)과 O3 가스를 이용한 산화지르코늄(ZrO2)의 분자층 성막, 테트라키스에틸메틸아미노하프늄(TEMAH)과 O3 가스를 이용한 산화하프늄(HfO2)의 분자층 성막, 스트론튬비스테트라메틸헵탄디오나토[Sr(THD)2]와 O3 가스를 이용한 산화 스트론튬(SrO)의 분자층 성막, 티타늄메틸펜탄디오나토 비스테트라메틸헵탄디오나토[Ti(MPD)(THD)]와 O3 가스를 이용한 산화티탄(TiO2)의 분자층 성막 등을 행할 수 있다. 또한, O3 가스가 아닌, 산소 플라즈마를 이용하는 것도 가능하다. 이들의 가스 조합을 이용해도, 상술한 효과가 발휘되는 것은 물론이다.
1 : 진공 용기
2 : 서셉터
4A, 4B : 볼록 형상부
5 : 돌출부
7 : 히터 유닛
11 : 천장판
12 : 용기 본체
13 : 밀봉 부재
15 : 반송구
15a : 게이트 밸브
21 : 코어부
22 : 회전축
23 : 구동부
41h : 토출 구멍
51 : 분리 가스 공급관
71a, 71b : 블록 부재
72, 73 : 퍼지 가스 공급관
101 : 기판 위치 검출 장치
102 : 하우징
104 : 카메라
104a : 제어부
106 : 패널
108 : 광원
120a : 챔버 마크
200 : 성막 장치
201 : 투과창
481, 482 : 제1, 제2 영역
W : 웨이퍼
H : 분리 공간
h1 : 높이

Claims (10)

  1. 기판에 대하여 소정의 처리를 행하는 처리 용기와, 처리 용기 내에 회전 가능하게 수용되어, 위치 검출 대상인 기판이 적재되는 기판 적재부가 형성되는 서셉터를 구비하는 반도체 제조 장치에 있어서 행해지는, 기판 적재 위치를 검출하는 위치 검출 방법이며,
    상기 서셉터를 움직여서 상기 기판 적재부를 촬상 장치의 촬상 영역에 위치시키는 공정과,
    상기 처리 용기 내에 있어서 상기 촬상 장치의 촬상 영역 내에 위치하도록 설치되는 2개의 제1 위치 검출 마크이며, 상기 2개의 제1 위치 검출 마크의 제1 수직 이등분선이 상기 서셉터의 회전 중심을 통과하도록 설치되는 당해 2개의 제1 위치 검출 마크를 검출하는 공정과,
    상기 서셉터에 있어서 상기 기판 적재부에 대하여 설치되는 2개의 제2 위치 검출 마크이며, 상기 2개의 제2 위치 검출 마크의 제2 수직 이등분선이 상기 서셉터의 회전 중심과 상기 기판 적재부의 중심을 통과하도록 설치되는 당해 2개의 제2 위치 검출 마크를 검출하는 공정과,
    검출된 상기 2개의 제1 위치 검출 마크 및 상기 2개의 제2 위치 검출 마크를 기초로 하여 상기 기판 적재부가 소정의 범위에 위치하는지를 판정하는 공정을 포함하는, 위치 검출 방법.
  2. 제1항에 있어서, 상기 제1 위치 검출 마크를 검출하는 공정에 있어서, 상기 제1 수직 이등분선이 특정되고,
    상기 제2 위치 검출 마크를 검출하는 공정에 있어서, 상기 제2 수직 이등분선이 특정되고,
    상기 판정하는 공정에 있어서, 상기 제1 수직 이등분선과 상기 제2 수직 이등분선이 이루는 각을 기초로 하여 상기 기판 적재부가 소정의 범위에 위치하는지가 판정되는, 위치 검출 방법.
  3. 제1항에 있어서, 상기 판정하는 공정에 의해, 상기 기판 적재부가 소정의 범위에 위치하고 있다고 판정된 경우에,
    상기 기판 적재부에 기판을 적재하는 공정과,
    상기 기판 및 상기 기판 적재부를 포함하는 영역을 촬상하는 공정과,
    상기 영역의 화상을 기초로 하여 상기 기판의 위치를 추정하는 공정과,
    검출된 상기 제2 위치 검출 마크로부터 구하는 상기 기판 적재부의 위치와 상기 기판의 위치로부터, 상기 기판이 소정의 위치에 있는지의 여부를 판정하는 공정이 행해지는, 위치 검출 방법.
  4. 제3항에 있어서, 상기 촬상하는 공정에 앞서, 상기 기판의 상방에 배치되는, 개구부를 갖는 광 산란성의 패널 부재에 빛을 조사하는 공정을 더 포함하고,
    상기 촬상하는 공정에 있어서, 상기 개구부를 통해, 상기 빛이 조사되는 상기 패널 부재에 의해 비추이는, 상기 기판 및 상기 기판 적재부를 포함하는 영역이 촬상되는, 위치 검출 방법.
  5. 제1항에 있어서, 상기 판정하는 공정에 의해, 상기 기판 적재부가 소정의 범위에 위치하고 있다고 판정되지 않을 경우에, 경보 신호를 발하는 공정을 더 포함하는, 위치 검출 방법.
  6. 제3항에 있어서, 상기 기판의 위치를 추정하는 공정이, 상기 기판 적재부에 적재된 상기 기판의 단부를 인식하는 공정을 포함하는, 위치 검출 방법.
  7. 제1항에 있어서, 상기 판정하는 공정에 의해, 상기 기판 적재부가 소정의 범위에 위치하고 있다고 판정된 경우에,
    상기 기판 적재부의 중심을 추정하는 공정과,
    상기 기판을 반송하는 기판 반송부를 이용하여 상기 기판을 상기 기판 적재부의 상방에 보유 지지하는 공정과,
    상기 기판 적재부의 상방에 보유 지지되는 상기 기판을 포함하는 영역을 촬상하는 공정과,
    상기 영역의 화상을 기초로 하여, 상기 기판이 상기 기판 적재부에 적재되었을 때의 당해 기판의 중심 위치를 추정하는 공정과,
    상기 기판 적재부의 중심과, 추정된 상기 기판의 중심 위치와의 편차를 구하는 공정과,
    상기 기판 반송부를 이동해서 상기 편차를 상쇄하는 공정이 행해지는, 위치 검출 방법.
  8. 기판에 대하여 소정의 처리를 행하는 처리 용기와, 처리 용기 내에 회전 가능하게 수용되어, 위치 검출 대상인 기판이 적재되는 기판 적재부가 형성되는 서셉터를 구비하는 반도체 제조 장치에 사용되는, 기판의 위치를 검출하는 위치 검출 장치이며,
    상기 처리 용기 내에 있어서 촬상 장치의 촬상 영역 내에 수납되도록 설치되는 2개의 제1 위치 검출 마크이며, 상기 2개의 제1 위치 검출 마크의 제1 수직 이등분선이 상기 서셉터의 회전 중심을 통과하도록 설치되는 당해 2개의 제1 위치 검출 마크와,
    상기 서셉터에 있어서 상기 기판 적재부에 대하여 설치되는 2개의 제2 위치 검출 마크이며, 상기 2개의 제2 위치 검출 마크의 상기 수직 이등분선이 상기 서셉터의 회전 중심과 상기 기판 적재부의 중심을 통과하도록 설치되는 당해 2개의 제2 위치 검출 마크와,
    상기 기판 적재부의 주연 영역을 포함하는 영역을 촬상하는 촬상부, 및
    상기 촬상부에 의해 촬상된 화상을 기초로 하여 상기 2개의 제1 위치 검출 마크 및 상기 2개의 제2 위치 검출 마크를 검출하고, 검출된 상기 2개의 제1 위치 검출 마크 및 상기 2개의 제2 위치 검출 마크를 기초로 하여 상기 기판 적재부가 소정의 범위에 위치하는지를 판정하는 제어부를 구비하는, 위치 검출 장치.
  9. 제8항에 있어서, 상기 제어부는, 상기 2개의 위치 검출 마크를 기초로 하여 얻어진 상기 제1 수직 이등분선 및 상기 2개의 위치 검출 마크를 기초로 하여 얻어진 상기 제2 수직 이등분선이 이루는 각을 기초로 하여, 상기 기판 적재부가 소정의 범위에 위치하는지를 판정하는, 위치 검출 장치.
  10. 용기 내에서, 서로 반응하는 적어도 2종류의 반응 가스를 순서대로 기판에 공급하는 사이클을 실행해서 반응 생성물의 층을 당해 기판 상에 생성함으로써 막을 퇴적하는 성막 장치이며,
    상기 용기에 회전 가능하게 설치된 서셉터와,
    상기 서셉터의 하나의 면에 설치되어, 상기 기판이 적재되는 적재부와,
    상기 적재부에 적재되는 상기 기판의 위치를 검출하는, 제8항에 기재되는 기판 위치 검출 장치와,
    상기 하나의 면에 제1 반응 가스를 공급하도록 구성된 제1 반응 가스 공급부와,
    상기 서셉터의 회전 방향을 따라서 상기 제1 반응 가스 공급부로부터 떨어진, 상기 하나의 면에 제2 반응 가스를 공급하도록 구성된 제2 반응 가스 공급부와,
    상기 회전 방향을 따라, 상기 제1 반응 가스가 공급되는 제1 처리 영역과 상기 제2 반응 가스가 공급되는 제2 처리 영역과의 사이에 위치하고, 상기 제1 처리 영역과 상기 제2 처리 영역을 분리하는 분리 영역과,
    상기 제1 처리 영역과 상기 제2 처리 영역을 분리하기 위해, 상기 용기의 중앙에 위치하고, 상기 하나의 면을 따라서 제1 분리 가스를 토출하는 토출 구멍을 갖는 중앙 영역과,
    상기 용기를 배기하기 위해 상기 용기에 설치된 배기구를 구비하고,
    상기 분리 영역이, 제2 분리 가스를 공급하는 분리 가스 공급부와, 상기 제2 분리 가스가 상기 회전 방향에 대하여 상기 분리 영역으로부터 상기 처리 영역측으로 흐를 수 있는 협애한 공간을, 상기 서셉터의 상기 하나의 면에 대하여 형성하는 천장면을 포함하는, 성막 장치.
KR1020110098204A 2010-09-28 2011-09-28 기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법 KR101454068B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2010217253 2010-09-28
JPJP-P-2010-217253 2010-09-28
JP2011151081A JP5524139B2 (ja) 2010-09-28 2011-07-07 基板位置検出装置、これを備える成膜装置、および基板位置検出方法
JPJP-P-2011-151081 2011-07-07

Publications (2)

Publication Number Publication Date
KR20120032447A true KR20120032447A (ko) 2012-04-05
KR101454068B1 KR101454068B1 (ko) 2014-10-27

Family

ID=45870265

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020110098204A KR101454068B1 (ko) 2010-09-28 2011-09-28 기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법

Country Status (5)

Country Link
US (1) US8854449B2 (ko)
JP (1) JP5524139B2 (ko)
KR (1) KR101454068B1 (ko)
CN (1) CN102420154B (ko)
TW (1) TWI476859B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190070266A (ko) * 2017-12-12 2019-06-20 시바우라 메카트로닉스 가부시끼가이샤 워크 검출 장치, 성막 장치 및 워크 검출 방법

Families Citing this family (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US9416448B2 (en) * 2008-08-29 2016-08-16 Tokyo Electron Limited Film deposition apparatus, substrate processing apparatus, film deposition method, and computer-readable storage medium for film deposition method
JP5107185B2 (ja) 2008-09-04 2012-12-26 東京エレクトロン株式会社 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US9297072B2 (en) 2008-12-01 2016-03-29 Tokyo Electron Limited Film deposition apparatus
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
TW201326738A (zh) * 2011-12-16 2013-07-01 Hon Hai Prec Ind Co Ltd 相機模組檢測裝置及檢測方法
JP6118102B2 (ja) 2012-12-21 2017-04-19 東京エレクトロン株式会社 基板位置検出装置及びこれを用いた基板処理装置、成膜装置
GB201301124D0 (en) * 2013-01-22 2013-03-06 Oxford Instr Nanotechnology Tools Ltd Substrate carrier
JP5535347B1 (ja) * 2013-02-04 2014-07-02 エピクルー株式会社 撮像装置、半導体製造装置および半導体製造方法
WO2014144533A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Position and temperature monitoring of ald platen susceptor
KR101686032B1 (ko) * 2013-03-28 2016-12-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
KR101452105B1 (ko) * 2013-04-18 2014-10-16 세메스 주식회사 원형 기판의 위치 확인 방법
JP6114708B2 (ja) * 2013-05-27 2017-04-12 東京エレクトロン株式会社 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
JP6114629B2 (ja) * 2013-05-27 2017-04-12 東京エレクトロン株式会社 回転可能状態検出装置及び回転可能状態検出方法、並びにこれを用いた基板処理装置及び基板処理方法
JP2014239093A (ja) * 2013-06-06 2014-12-18 信越半導体株式会社 枚葉式気相成長装置用サセプタ、枚葉式気相成長装置及びそれを用いた枚葉式気相成長方法
DE102013111165A1 (de) 2013-10-09 2015-04-09 Aixtron Se Vorrichtung und Verfahren zur Bestimmung der Drehlage eines Suszeptors in einer Prozesskammer
KR102173047B1 (ko) * 2013-10-10 2020-11-03 삼성디스플레이 주식회사 기상 증착 장치
JP6262115B2 (ja) 2014-02-10 2018-01-17 東京エレクトロン株式会社 基板処理方法及び基板処理装置
TW201629264A (zh) 2015-01-22 2016-08-16 應用材料股份有限公司 用於間隙偵測的智能止動器及控制機制
JP6332115B2 (ja) * 2015-04-06 2018-05-30 株式会社ダイフク 物品収納設備
JP6548586B2 (ja) 2016-02-03 2019-07-24 東京エレクトロン株式会社 成膜方法
US20170314825A1 (en) * 2016-04-29 2017-11-02 Emerson Climate Technologies, Inc. Co-fluid refrigeration system and method
US10276455B2 (en) * 2016-07-29 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for measurement of semiconductor device fabrication tool implement
JP6618876B2 (ja) * 2016-09-26 2019-12-11 株式会社ニューフレアテクノロジー 基板処理装置、搬送方法およびサセプタ
JP6733516B2 (ja) 2016-11-21 2020-08-05 東京エレクトロン株式会社 半導体装置の製造方法
US10651067B2 (en) * 2017-01-26 2020-05-12 Brooks Automation, Inc. Method and apparatus for substrate transport apparatus position compensation
JP6763321B2 (ja) * 2017-03-01 2020-09-30 東京エレクトロン株式会社 自転検出用冶具、基板処理装置及び基板処理装置の運転方法
JP6783185B2 (ja) * 2017-05-15 2020-11-11 東京エレクトロン株式会社 検査装置
JP7029914B2 (ja) * 2017-09-25 2022-03-04 東京エレクトロン株式会社 基板処理装置
JP7035461B2 (ja) * 2017-11-07 2022-03-15 東京エレクトロン株式会社 リリーフバルブ及び基板処理装置
KR102629523B1 (ko) * 2018-04-23 2024-01-25 도쿄엘렉트론가부시키가이샤 측정 방법 및 측정 장치
KR20190124610A (ko) * 2018-04-26 2019-11-05 캐논 톡키 가부시키가이샤 기판 반송 시스템, 전자 디바이스 제조장치 및 전자 디바이스 제조방법
JP7224254B2 (ja) 2019-07-17 2023-02-17 東京エレクトロン株式会社 基板処理装置、情報処理装置、及び基板処理方法
CN110459499B (zh) * 2019-08-16 2021-09-17 上海知昊电子科技有限公司 一种晶圆位置侦测系统
CN113834400B (zh) * 2020-06-23 2022-11-15 长鑫存储技术有限公司 位置检测判断装置、校准装置、方法及系统
US11263755B2 (en) * 2020-07-17 2022-03-01 Nanya Technology Corporation Alert device and alert method thereof
JP2022143176A (ja) * 2021-03-17 2022-10-03 芝浦メカトロニクス株式会社 測定ツール、基板処理装置及び基板製造方法
TWI782675B (zh) * 2021-08-25 2022-11-01 辛耘企業股份有限公司 工件處理系統、距離測量裝置及工件放置路徑設定方法
JP2024040000A (ja) 2022-09-12 2024-03-25 東京エレクトロン株式会社 基板処理装置、基板処理方法及びプログラム
US20240095899A1 (en) * 2022-09-21 2024-03-21 Applied Materials, Inc. Edge defect detection via image analytics

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01220454A (ja) * 1988-02-27 1989-09-04 Nitto Denko Corp フレームアライメント装置
JPH0513537A (ja) * 1991-07-01 1993-01-22 Fujitsu Ltd 画像処理用照明装置
JP4402811B2 (ja) * 2000-05-26 2010-01-20 東京エレクトロン株式会社 被処理体の搬送システムおよび被処理体の位置ずれ量の検出方法
JP2002280287A (ja) 2001-03-19 2002-09-27 Nikon Corp 位置検出方法、位置検出装置、露光方法、露光装置、及びデバイス製造方法
JP4281337B2 (ja) 2002-11-20 2009-06-17 パナソニック株式会社 ディスプレイパネルの点灯検査装置
JP4068588B2 (ja) * 2003-03-31 2008-03-26 シーシーエス株式会社 光照射装置
JP4558417B2 (ja) * 2004-09-01 2010-10-06 有限会社シマテック 照明制御装置
DE602005024856D1 (de) * 2004-12-13 2010-12-30 Panasonic Corp Datenträger-ladeeinrichtng
JP2007107945A (ja) * 2005-10-12 2007-04-26 Olympus Corp 基板検査装置
JP4656440B2 (ja) * 2007-02-13 2011-03-23 東京エレクトロン株式会社 基板位置検出装置及びその撮像手段位置調整方法
JP5120017B2 (ja) * 2007-05-15 2013-01-16 東京エレクトロン株式会社 プローブ装置
JP5088167B2 (ja) * 2008-02-22 2012-12-05 東京エレクトロン株式会社 プローブ装置、プロービング方法及び記憶媒体
JP2010087467A (ja) * 2008-09-04 2010-04-15 Tokyo Electron Ltd 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP2010153769A (ja) * 2008-11-19 2010-07-08 Tokyo Electron Ltd 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
JP4607994B2 (ja) * 2008-11-25 2011-01-05 ローツェ株式会社 円盤状物の位置決め方法並びに、その方法を使用する円盤状物の位置決め装置、搬送装置および半導体製造設備

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190070266A (ko) * 2017-12-12 2019-06-20 시바우라 메카트로닉스 가부시끼가이샤 워크 검출 장치, 성막 장치 및 워크 검출 방법

Also Published As

Publication number Publication date
KR101454068B1 (ko) 2014-10-27
US8854449B2 (en) 2014-10-07
JP5524139B2 (ja) 2014-06-18
JP2012094814A (ja) 2012-05-17
US20120075460A1 (en) 2012-03-29
CN102420154A (zh) 2012-04-18
TW201234515A (en) 2012-08-16
TWI476859B (zh) 2015-03-11
CN102420154B (zh) 2016-01-06

Similar Documents

Publication Publication Date Title
KR101454068B1 (ko) 기판 위치 검출 장치, 이것을 구비하는 성막 장치 및 기판 위치 검출 방법
JP2010153769A (ja) 基板位置検出装置、基板位置検出方法、成膜装置、成膜方法、プログラム及びコンピュータ可読記憶媒体
KR101685243B1 (ko) 기판 위치 검출 장치 및 이것을 이용한 기판 처리 장치, 성막 장치
KR102354048B1 (ko) 기판 휨 검출 장치 및 기판 휨 검출 방법, 그리고 이들을 사용한 기판 처리 장치 및 기판 처리 방법
KR101403818B1 (ko) 성막 장치 및 성막 방법
JP2010087467A (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP6114708B2 (ja) 基板脱離検出装置及び基板脱離検出方法、並びにこれらを用いた基板処理装置及び基板処理方法
US10615066B2 (en) Substrate warping monitoring device and substrate processing apparatus using the same, and substrate warping monitoring method
JP5276387B2 (ja) 成膜装置、基板処理装置、成膜方法及びこの成膜方法を実行させるためのプログラムを記録した記録媒体
JP5640894B2 (ja) 温度測定装置、温度測定方法、記憶媒体及び熱処理装置
JP6280487B2 (ja) 基板処理方法及び基板処理装置
US9790597B2 (en) Substrate processing apparatus, substrate processing method and storage medium
US9607389B2 (en) Alignment apparatus
KR20220161467A (ko) 열적 에칭을 위한 신속하고 정확한 온도 제어
JP2017041586A (ja) 成膜装置
US11208721B2 (en) Substrate processing apparatus
US20200365594A1 (en) Substrate processing apparatus and monitoring method
JP2024007277A (ja) 成膜位置ズレ補正方法および成膜システム

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
FPAY Annual fee payment

Payment date: 20170920

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20181004

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20191001

Year of fee payment: 6