KR20110049867A - 정전 척 조립체 - Google Patents

정전 척 조립체 Download PDF

Info

Publication number
KR20110049867A
KR20110049867A KR1020117005795A KR20117005795A KR20110049867A KR 20110049867 A KR20110049867 A KR 20110049867A KR 1020117005795 A KR1020117005795 A KR 1020117005795A KR 20117005795 A KR20117005795 A KR 20117005795A KR 20110049867 A KR20110049867 A KR 20110049867A
Authority
KR
South Korea
Prior art keywords
puck
electrostatic chuck
chuck assembly
plate
cooling plate
Prior art date
Application number
KR1020117005795A
Other languages
English (en)
Other versions
KR101582785B1 (ko
Inventor
스티븐 브이. 샌소니
쳉-시웅 트사이
샴브후 엔. 로이
칼 엠. 브라운
비자이 디. 파르케
하리 케이. 폰네칸티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20110049867A publication Critical patent/KR20110049867A/ko
Application granted granted Critical
Publication of KR101582785B1 publication Critical patent/KR101582785B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B23MACHINE TOOLS; METAL-WORKING NOT OTHERWISE PROVIDED FOR
    • B23QDETAILS, COMPONENTS, OR ACCESSORIES FOR MACHINE TOOLS, e.g. ARRANGEMENTS FOR COPYING OR CONTROLLING; MACHINE TOOLS IN GENERAL CHARACTERISED BY THE CONSTRUCTION OF PARTICULAR DETAILS OR COMPONENTS; COMBINATIONS OR ASSOCIATIONS OF METAL-WORKING MACHINES, NOT DIRECTED TO A PARTICULAR RESULT
    • B23Q3/00Devices holding, supporting, or positioning work or tools, of a kind normally removable from the machine
    • B23Q3/15Devices for holding work using magnetic or electric force acting directly on the work
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H02GENERATION; CONVERSION OR DISTRIBUTION OF ELECTRIC POWER
    • H02NELECTRIC MACHINES NOT OTHERWISE PROVIDED FOR
    • H02N13/00Clutches or holding devices using electrostatic attraction, e.g. using Johnson-Rahbek effect
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Mechanical Engineering (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

본 발명의 실시예들은 정전 척 조립체 내의 열적-기계적 응력을 최소화하면서 초 고진공 환경 하의 폭넓은 온도 범위에 걸쳐서 작동할 수 있는 비용 효과적인 정전 척 조립체를 제공한다. 일 실시예에서, 정전 척 조립체는 유전체 몸체의 열 팽창 계수(CTE)와 일치하는 CTE를 갖는 금속 매트릭스 복합 재료를 포함하는 척킹 전극을 갖는 유전체 몸체를 포함한다.

Description

정전 척 조립체 {ELECTROSTATIC CHUCK ASSEMBLY}
본 발명의 실시예들은 일반적으로, 초소형전자 소자의 제작을 위해 처리 챔버 내에 사용되는 기판 지지대에 관한 것이며, 특히 플라즈마 처리 챔버 내에 사용되는 정전 척에 관한 것이다.
정전 척은 물리 기상 증착, 에칭, 또는 화학 기상 증착과 같은 다양한 적용 분야에 사용되는 처리 챔버 내에서의 기판 처리 중에 반도체 웨이퍼와 같은 기판을 유지하는데 널리 사용된다. 정전 척은 통상적으로, 정전 클램핑력(clamping force)이 생성될 수 있는 유전체 또는 반도체 세라믹 재료를 포함하는 단일 척 몸체 내에 매설된 하나 또는 그보다 많은 전극을 포함한다. 존슨 라벡(Johnsen-Rahbek) 또는 넌-쿨롱(non coulombic) 정전 클램핑 필드를 생성하기 위해 예를 들어, 알루미늄 질화물, 붕소 질화물, 또는 금속 산화물로 도프된 알루미늄 산화물과 같은 반도체 세라믹 재료가 사용될 수 있다.
단 전극 척(monopolar electrode chuck)에 있어서, 척은 가해진 전압에 의해 기판에 대해 전기적으로 바이어스되는 단일 전극을 포함한다. 플라즈마는 기판을 척에 정전식으로 유지하는 정전 인력을 생성하기 위해 척과 기판 내에 대향 정전 전하를 유도하도록 처리 챔버 내측으로 유입된다. 쌍 전극 척에 있어서, 척은 기판을 척에 유지하는 정전기력을 제공하기 위해 서로에 대해 전기적으로 바이어스되는 두 개의 전극을 포함한다. 단 전극 척과는 달리, 쌍 전극 척은 정전 클램핑력을 생성하기 위한 플라즈마의 제공 필요성이 없다.
정전 척은 기계식 클램핑 장치 및 진공 척에 비해 여러 장점을 제공한다. 예를 들어, 정전 척은 기계식 클램핑에 의한 응력 유도 크랙을 감소시킴으로써, 기판의 보다 큰 영역이 처리를 위해 노출될 수 있으며(에지 제외 부분이 아주 작거나 없음) 저압 또는 고 진공 환경 하에서 사용될 수 있다. 또한, 정전 척은 척 고정면에 기판을 매우 균일하게 유지함으로써 기판 온도에 대해 보다 정밀한 제어를 가능하게 한다. 이러한 제어는 척과 기판 간의 열적 커플링을 위한 열 전달 가스를 사용함으로써 더욱 개선될 수 있다.
집적 회로의 제작에 사용되는 다양한 공정들은 기판 처리를 위한 높은 온도와 광범위한 온도 범위를 필요로 할 수 있다. 그러한 온도는 약 20 ℃ 내지 약 150 ℃ 범위, 몇몇 공정에 대해서는 가능하다면 300 ℃ 내지 500 ℃ 만큼 높거나 그보다 더 높을 수 있다. 그러므로, 광범위한 온도에서 작동할 수 있는 정전 척을 갖는 것이 종종 바람직하다.
정전 척의 장점을 이용하기 위해, 정전 척은 통상적으로, 기판을 가열 및 냉각하고 전력을 척 전극에 공급하기 위한 다양한 구성 요소들을 포함할 수도 있는 기판 지지 조립체의 일부분을 형성한다. 또한, 기판 지지 조립체는 기판 바이어스를 제공하고 플라즈마 전력을 제공하기 위한 구성 요소들도 포함할 수 있다. 그 결과, 정전 척의 세라믹 재료는 추가의 전극, 및 예를 들어 가열 요소, 가스 채널, 및 냉각 채널과 같은 다른 구성 요소들을 포함할 수 있다. 또한, 정전 척은 금속으로 제조된 지지 구성 요소에 부착될 수 있다.
그러나, 열적-기계적 응력을 초래하여 열적 사이클 중에 세라믹의 파괴 또는 파편 생성을 유발할 수 있는 세라믹과 금속의 열 팽창 계수(CTE)의 차이로 인해 세라믹 척 몸체 내에 금속 구성 요소(예를 들어, 전극)를 매설하거나 세라믹 척 몸체에 금속 구성 요소를 부착하는 것이 어렵다. 또한, CTE의 차이는 온도에 따라 증가됨으로써 고온에서 보다 큰 열적-기계적 응력을 초래한다. 이러한 응력을 보상하기 위해, 세라믹 척 몸체는 보다 큰 강도를 제공하고 파괴를 방지하기 위해 더 두껍게 제조될 수 있으나, 이는 종종 척 몸체의 제조 비용의 상승을 초래한다.
또한, 가스 도관 및 전기 도선은 종종, 진공 밀봉을 제공하는 인터페이스 또는 도체(feed-through)를 통해 정전 척에 연결된다. 도체는 폴리머 O-링에 의해 밀봉될 수 있다. 그러나, 폴리머 O-링은 종종, 고온에서 탄성 및 복원력을 상실하여, 진공 밀봉의 파괴를 초래할 수 있다. 또한, CTE의 차이에 의한 열적-기계적 응력으로 인한 세라믹 척의 파괴는 밀봉 파괴 및 진공 누설을 초래할 수 있다.
임의의 적용에 있어서, 기판에 바이어스를 가하고/하거나 정전 척의 전극에 RF 전력을 연결함으로써 플라즈마를 생성하는 것이 바람직할 수 있다. RF 전력 전송 효율은 전극과 기판 사이의 유전체 층의 두께와 유전 상수와 같은 척 몸체의 다양한 특성에 부분적으로 의존한다. RF 전력이 예를 들어, 약 50 ㎑ 내지 60 ㎒ 범위와 같은 광범위 주파수에 걸쳐 가해는 적용예에 있어서, 광범위한 주파수 범위에 걸쳐서 효율적인 RF 전력 전송을 위해 비용 효과적인 방식으로 최적화될 수 있는 정전 척을 갖는 것이 바람직할 수 있다.
그러므로, 파괴 없이 고 진공 환경 하의 고온 및 폭넓은 온도 범위에 걸쳐서 작동할 수 있는 비용 효과적인 정전 척이 필요하다. 또한, 폭넓은 주파수 범위에 걸쳐서 RF 전력을 효율적으로 연결할 수 있는 비용 효과적인 정전 척이 필요하다.
본 발명의 실시예들은 고 진공 하의 폭넓은 온도 범위에 걸쳐서 작동할 수 있으며, 기판 바이어싱 및/또는 플라즈마 형성을 위해 폭넓은 주파수 범위에 결쳐서 RF 전력을 효율적으로 연결할 수 있는 비용 효과적인 정전 척을 제공한다.
일 실시예에서, 정전 척 조립체는 지지대 베이스, 및 상기 지지대 베이스에 연결되는 퍽을 포함하며, 상기 퍽은 정면측 표면과, 전기 절연 퍽 베이스와, 상기 정면측 표면 상에 배열되는 하나 또는 그보다 많은 척킹 전극, 및 상기 정면측 표면 상에 배열되며 하나 또는 그보다 많은 상기 척킹 전극을 덮고 있는 유전체 층을 포함하며, 상기 하나 또는 그보다 많은 척킹 전극은 전기 전도체 금속 매트릭스 복합 재료를 포함한다.
다른 실시예에서, 정전 척 조립체는 지지대 하우징과; 하나 또는 그보다 많은 척킹 전극 및 하나 또는 그보다 많은 가열 요소를 갖춘 전기 절연 상부 퍽 판과, 상승부를 포함하는 접합 영역 내의 상기 상부 퍽 판에 접합되는 하부 퍽 판, 및 상기 접합 영역 외측의 상기 하부 퍽 판으로부터 상기 상부 퍽 판을 분리시키는 갭을 포함하는 퍽과; 상기 하부 퍽 판과 지지대 하우징 사이에 배열되는 하나 또는 그보다 많은 O형 링; 및 하나 또는 그보다 많은 냉각 채널을 포함하며 상기 접합 영역과 하나 또는 그보다 많은 O형 링 사이에 배열되는 냉각 판을 포함하며; 상기 냉각 판은 상기 하부 퍽 판에 연결되어 열적으로 소통한다.
또 다른 실시예에서, 정전 척 조립체는 정면측 표면과, 하나 또는 그보다 많은 척킹 전극, 및 복수의 퍽 볼트 구멍을 포함하는 퍽과; 중앙 개구 체적을 각각 갖는 하나 또는 그보다 많은 중앙 개구와, 상기 퍽을 지지하는 지지대 표면을 각각 갖는 하나 또는 그보다 많은 외측 스텝 및 내측 스텝과, 그리고 냉각 판 내에 형성되며, 상기 중앙 개구 체적의 측벽 내에 형성되는 제 1 구멍과 상기 냉각 판의 표면 상에 배열되는 제 2 구멍 사이에 유체 소통을 제공하는 채널을 각각 갖는 하나 또는 그보다 많은 통풍 구멍을 포함하는 냉각 판과; 그리고 상기 냉각 판으로부터 분리가능한 상기 퍽과 상기 냉각 판 사이에 배열되는 갭을 포함한다.
본 발명의 전술한 특징들이 더욱 상세히 이해될 수 있는 방식으로, 위에서 간단히 설명한 본 발명에 대해 첨부 도면에 몇몇 실시예들이 도시된 실시예들을 참조하여 더욱 상세히 설명될 것이다. 그러나, 첨부 도면들은 단지, 본 발명의 전형적인 실시예들만을 도시할 뿐이므로 본 발명의 범주들을 한정하는 것이라 고려해서는 안되며 본 발명은 다른 균등한 효과적인 실시예들을 포함할 수 있다고 이해해야 한다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 처리 챔버의 개략적인 횡단면도이며,
도 2는 도 1에 도시된 정전 척 조립체의 일 실시예에 대한 분해도이며,
도 3a는 본 발명의 일 실시예에 따른 도 1에 도시된 정전 척 조립체의 개략적인 횡단면도이며,
도 3b는 본 발명의 일 실시예에 따른 도 3a에 도시된 정전 척 조립체의 개략적인 세부 횡단면도이며,
도 4a는 본 발명의 다른 실시예에 따른 도 1에 도시된 정전 척의 개략적인 횡단면도이며,
도 4b는 본 발명의 일 실시예에 따른 도 4a에 도시된 정전 척 조립체의 개략적인 세부 횡단면도이며,
도 5a는 본 발명의 다른 실시예에 따른 도 1에 도시된 정전 척 조립체의 개략적인 횡단면도이며,
도 5b는 본 발명의 일 실시예에 따른 도 5a에 도시된 정전 척 조립체의 개략적인 횡단면도이며,
도 6a는 본 발명의 다른 실시예에 따른 도 1에 도시된 정전 척 조립체의 사시도이며,
도 6b는 본 발명의 일 실시예에 따른 도 6a에 도시된 정전 척 조립체의 개략적인 횡단면도이며,
도 6c는 본 발명의 일 실시예에 따른 도 6b에 도시된 통기공의 개략적인 세부 횡단면도이며,
도 6d는 본 발명의 다른 실시예에 따른 도 6b에 도시된 볼트 구멍의 개략적인 세부 횡단면도이며,
도 6e도는 도 6a에 도시된 정전 척 조립체의 일 실시예에 대한 분해도이다.
이해를 촉진시키기 위해, 도면들에서 공통인 동일한 구성 요소들을 지칭하기 위해 가능하다면, 동일한 참조 부호들이 사용되었다. 일 실시예의 특징들은 추가의 언급 없이도 다른 실시예와 결합될 수 있다고 이해해야 한다.
본 발명은 일반적으로, 초 고진공 하의 폭넓은 온도 범위에 걸쳐서 작동할 수 있는 튼튼하고 비용 효과적인 정전 척을 제공한다. 본 발명의 실시예들은 기판 바이어싱 및/또는 플라즈마 형성을 위해 효율적인 RF 커플링을 제공하는 정전 척 조립체를 포함한다.
도 1은 본 발명의 일 실시예에 따른 플라즈마 처리 챔버의 개략적인 횡단면도이다. 일 실시예에서, 플라즈마 처리 챔버는 스퍼터 에칭 처리 챔버이다. 그러나, 예를 들어 물리 기상 증착(즉, 스퍼터링) 챔버와 같은 다른 형태의 처리 챔버들도 본 발명을 실시하는데 사용될 수 있다.
챔버(100)는 기판 처리 중에 챔버 내부 체적(120) 내에 대기압 이하의 압력을 유지하는데 적합하게 사용되는 진공 챔버이다. 챔버(100)는 챔버 내부 체적(120)의 상반부에 위치되는 처리 공간(119)을 에워싸는 돔(104)에 의해 덮인 챔버 몸체(106)를 포함한다. 챔버(100)는 다양한 챔버 구성 요소와 이온화된 공정 재료 사이의 원하지 않는 반응을 방지하기 위해 그와 같은 다양한 챔버 구성 요소를 에워싸는 하나 또는 그보다 많은 차폐물(105)도 포함할 수 있다. 챔버 몸체(106)와 돔(104)은 알루미늄과 같은 금속으로 제조될 수 있다.
챔버 내부 체적(120) 내에는 예를 들어, 반도체 웨이퍼와 같은 기판(S)을 지지 및 척킹하기 위한 정전 척 조립체(124)가 배열된다. 정전 척 조립체(124)는 기판(S)이 위에 놓이는 퍽(150), 냉각 판(151), 및 지지대 베이스(152)를 포함한다. 기판 베이스(152)는 지지 하우징(149), 벨로우즈 조립체(110) 및 중공형 지지 샤프트(112)를 포함한다. 지지 샤프트(112)는 (도 1에 도시된 바와 같은)상부 처리 위치와 (도시 않은)하부 이송 위치 사이에 정전 척 조립체(124)의 수직 운동을 제공하는 리프트 기구(113)에 연결된다. 벨로우즈 조립체(110)는 지지 샤프트(112) 주위에 배열되며 챔버(100) 내부로부터 진공 손실을 방지하면서 정전 척 조립체(124)의 수직 운동을 허용하는 가요성 시일을 제공하도록 지지 베이스(152)와 챔버 바닥면(126) 사이에 연결된다. 벨로우즈 조립체(110)도 챔버 진공의 손실을 방지하는데 도움을 주도록 바닥면(126)과 접촉하는 O-링(165)과 접촉하는 하부 벨로우즈 플랜지(164)도 포함한다.
퍽(150)은 내부에 매설된 두 개의 척킹 전극(159)을 갖는 전기 절연 퍽 베이스(162)를 포함하며 상기 척킹 전극은 DC 전력원과 같은 척킹 전력원(140)에 전기 접속된다. 다른 예에서, 퍽(150)은 기판을 척킹하기 위한 하나의 척킹 전극(159) 또는 두 개 이상의 척킹 전극(159)을 포함할 수 있다. 두 개의 전극의 경우에, 척킹 전극(159)은 서로 반원형 판 "D"형 판일 수 있으며, 각각의 척킹 전극(159)은 DC 전력원의 하나의 터미널에 부착된다. 하나의 전극의 경우에, 척킹 전극(159)은 DC 전력원의 하나의 터미널에 부착된(다른 하나의 DC 터미널은 접지 전위에 부착됨) 얇은 디스크일 수 있다. 그러나, 하나 또는 그보다 많은 척킹 전극(159)은 링, 웨지, 스트립 등을 포함할 수 있는 어떤 적합한 형상을 가질 수 있다. 척킹 전극(159)은 예를 들어, 금속 또는 금속 합금과 같은 어떤 적합한 전기 전도체 재료로 제조될 수 있다.
두 개의 척킹 전극(159)은 하나 또는 그보다 많은 RF 동조기(116)를 통해 RF 플라즈마 전력원(117A) 및 RF 바이어스 전력원(117B)에 연결된다. RF 플라즈마 전력원(117A)은 플라즈마(102)를 형성하도록 전력을 제공하며, RF 바이어스 전력원(117B)은 RF 바이어스를 기판(S)에 가한다. 다른 실시예에서, RF 전력은 정전 척 조립체(124)에 연결되지 않는다.
척(150)은 제 1 플랜지(153) 및 제 2 플랜지(155)를 포함한다. 제 1 플랜지(153)는 기판 에칭 중의 에지 효과를 감소시키기 위해 에지 링(도시 않음)을 지지하는데 사용되며, 제 2 플랜지(155)는 퍽(150)을 지지 베이스(152)에 연결하는데 사용될 수 있다. 다른 실시예에서, 퍽(150)은 단지 제 1 플랜지(153)만을 포함하며 제 2 플랜지(155)를 포함하지 않는다.
퍽(150)은 또한, 기판(S)을 지지하는 복수의 돌기 또는 메사(mesas: 157)를 포함하며, 상기 메사(157)들 사이에는 가스 공급원(141)과 유체 소통하는 가스 홈(158)이 제공된다. 가스 공급원(141)은 (150)과 기판(S) 사이의 열 전달률을 조정하는데 도움을 주기 위해 기판(S) 후면과 퍽(150) 사이로 유동하는 열 전달 가스를 제공한다. 일 예에서, 열 전달 가스는 아르곤과 같은 불활성 가스를 포함할 수 있다. 열 전달 가스는 하나 또는 그보다 많은 가스 홈(158)과 유체 소통하는 퍽(150) 내의 하나 또는 그보다 많은 구멍(도시 않음)을 통해 가스 홈(158)으로 분배될 수 있다. 퍽(150)은 또한 에지 근처에서 기판(S)과 접촉하며 기판(S) 뒤로부터 이탈하는 열전달 가스의 양을 제어하는데 도움을 줄 수 있는 외측 주변 링(161)을 가질 수 있다.
기판(S)의 온도 조절은 냉각 판(151) 내에 배열된 다중 냉각 채널(160)에 의해 더욱 촉진되며, 냉각 채널(160)은 냉각 판(151) 내에 배열되며, 냉각 채널(160)은 물과 같은 냉각 유체를 제공하는 유체 공급원(142)과 유체 소통되게 연결되며, 어떤 적합한 냉각 유체, 가스 또는 액체가 사용될 수 있다. 다른 실시예에서, 퍽(150)은 또한 척 전극(159)과 냉각 판(151) 사이에 배열되는 가열 요소(도 5a의 도면 부호 502 참조)를 포함할 수 있다. 또한, 퍽(150), 냉각 판(151), 및/또는 정전 척 조립체(124)의 다른 구성 요소의 온도는 열전쌍 등과 같은 하나 또는 그보다 많은 온도 센서(도시 않음)를 사용하여 모니터링될 수 있다. 일 예에서, 퍽(150)은 온도 모니터링을 위해 적어도 하나의 열전쌍에 연결된다.
정전 척 조립체(124)는 볼트(도시 않음) 또는 다른 적합한 체결 장치를 사용하여 퍽(150)에 연결되는 냉각 판(151)을 포함한다. 냉각 판(151)은 퍽(150)과 기판(S)에 더욱 양호한 열적 커플링을 제공하기 위해 퍽(150) 내에 부분적으로 오목한 형상으로 구성될 수 있다. 열 전도체 재료는 또한 퍽(150)과 냉각 판(151) 사이에도 제공될 수 있어서, 퍽(150)과 냉각 판(151) 사이의 열적 커플링을 더욱 개선한다. 다른 실시예에서, 커플링 판(151)을 퍽(150)에 접착시키기 위해 접착제가 사용된다.
퍽(150)은 퍽(150)의 제 2 플랜지(155) 주위에 배열된 다중 볼트(도시 않음)를 사용하여 지지대 베이스(152)의 지지대 하우징에 연결된다. 정전 척 조립체(124) 내의 내부 체적(156)과 챔버 내측 체적(120) 사이에 진공 밀봉을 제공하기 위해 하나 또는 그보다 많은 O링(154)이 퍽(150)과 지지 하우징(149) 사이의 O형 홈(도 5a 참조) 내에 위치된다. 내부 체적(156)은 도관 및 와이어링을 위한, 지지대 하우징(149) 및 중공형 지지대 샤프트(112) 내부에 개방 공간을 포함하며, 내부 체적(156)은 챔버(100) 외측의 대기압과 유체 소통된다. 본 실시예에서, 퍽(150)은 지지대 하우징(149) 및 지지대 베이스(152)를 교체함이 없이 퍽(150)이 교체될 수 있도록 지지대 하우징(149)으로부터 분리될 수 있다. 다른 실시예에서, 퍽(150)과 지지대 하우징(149)은 단일체를 형성하도록 함께 접착된다.
지지대 샤프트(112) 및 벨로우즈 조립체(110)는 지지대 베이스(152)를 형성하도록 지지대 하우징(149)에 연결된다. 일 실시예에서, 지지대 샤프트(112) 및 벨로우즈 조립체(110)는 지지대 하우징(149)에 용접된다. 다른 실시예에서, 지지대 샤프트(112) 및 벨로우즈 조립체(110)는 지지대 하우징(149)에 볼트 결합되는 별도의 조립체를 형성할 수 있다. 또 다른 실시예에서, 정전 척 조립체(124)는 지지대 샤프트(112) 및 벨로우즈 조립체(110)가 지지대 하우징(149)이 사용됨이 없이 연결 판(151)에 직접 연결된다.
기판 리프트(130)는 기판(S)이 퍽(150) 상에 놓이거나 퍽으로부터 제거될 수 있도록 기판 리프트(130)를 상승 및 하강시키기 위한 제 2 리프트 기구(132)에 연결되는 샤프트(111)에 연결된 플랫폼(108) 상에 장착되는 리프트 핀(109)을 포함한다. 정전 척 조립체(124)는 리프트 핀(109)을 수용하기 위한 피드쓰루 구멍(도 2의 도면 부호 204 참조)을 포함한다. 벨로우즈 조립체(131)는 기판 리프트(130)의 수직 운동 중에 챔버 진공을 유지하는 가요성 시일을 제공하기 위해 기판 리프트(130)와 바닥면(126) 사이에 연결된다.
챔버(100)는 챔버(130)를 배기하는데 사용되는 드로틀 밸브(도시 않음)와 진공 펌프(도시 않음)를 포함하는 진공 시스템(114)과 유체 소통되게 연결된다. 챔버(100) 내측의 압력은 드로틀 밸브 및/또는 진공 펌프를 조절함으로써 조정될 수 있다. 챔버(100)는 또한 에칭 공정을 위해 챔버에 아르곤과 같은 하나 또는 그보다 많은 공정 가스를 공급할 수 있는 공정 가스 공급원(118)과 유체 소통되게 연결된다.
기판(S)을 스퍼터 에칭하기 위한 플라즈마를 형성하기 위해, 척킹 전극(159)은 챔버(100) 내의 기판(S)에 바이어스를 가하고 플라즈마(102)를 형성하기 위해 하나 또는 그보다 많은 RF 동조기(116)를 통해 RF 플라즈마 전력 공급원(117A) 및 RF 바이어스 전력 공급원(117B)에 연결된다. 척킹 전극(159)은 챔버 몸체(106) 및 돔(104)과 전기 절연된 RF 캐소드로서의 기능을 하며, 챔버 몸체(106) 및 돔(104)은 접지(115)에 연결된다. 아르곤과 같은 공정 가스는 공정 가스 공급원(118)으로부터 챔버(100) 내측으로 유입되며 가스 압력은 플라즈마 점화를 위한 예정값으로 조절된다. 플라즈마(102)는 RF 전력이 RF 플라즈마 전력 공급원(117A)으로부터 척킹 전극(159)으로 분배될 때 용량 결합을 통해 처리 체적(119) 내에서 점화된다. RF 동조기(116)는 RF 플라즈마 전력 공급원(117A)으로부터 플라즈마(102)로의 전력 전달 효율을 개선하도록 조절 또는 사전설정될 수 있다. RF 바이어스 전력 공급원(117B)은 바이어스를 척킹 전극(159)에 가함으로써, 플라즈마(102) 내의 양하전 이온들이 기판(S)의 표면으로 가속되며 기판 표면은 스퍼터 에칭된다.
RF 플라즈마 전력 공급원(117A) 및 RF 바이어스 전력 공급원(117B)은 약 0.5 ㎒ 내지 약 60 ㎒ 범위, 바람직하게 약 2 ㎒ 및 약 13.56 ㎒ 근처의 주파수로 전력을 제공할 수 있다. 바이어스 및 그에 따른 에너지를 구동시키는데에 저 주파수가 사용될 수 있으며 플라즈마(102)를 구동시키는데에 고 주파수가 사용될 수 있다.
도 2는 도 1에 도시된 정정 척 조립체(124)의 일 실시예에 대한 분해도이다. 명료함을 위해, 지지대 샤프트(112) 내의 샤프트 피드쓰루 구멍(210)을 통해 지나가는 유체 운반 도관 및 전기 도선은 도시되지 않았다. 지지대 하우징(149)은 리프트 핀(109)이 퍽(150)의 정면측 표면(206)으로부터 기판을 상승 또는 하강시킬 수 있도록 리프트 핀 구멍(204)과 정렬되는 피드쓰루 구멍(203)를 갖춘 플랜지(202)를 포함한다. O링(154)은 퍽(150)이 지지대 하우징(149)에 연결될 때 진공 시일이 형성될 수 있도록 플랜지(202) 상의 O링 홈(도시 않음) 내에 배열된다.
정면측 표면(206)은 외측 주변 링(161)에 의해 경계 지워지며 전술한 바와 같이 열 전달 가스를 분배하는 가스 홈(158)과 교차함으로써 형성되는 복수의 상승된 웨지형 메사(157)를 포함할 수 있다. 가스 홈(158)은 원형 채널(212)과 교차하는 방사상 채널(214)을 포함한다. 가스 홈(158)은 그리드형 패턴을 형성하도록 직각으로 교차하는 복수의 채널을 포함할 수 있다. 이와는 달리, 방사상 패턴이 그리드 및 원형 패턴과 조합될 수 있으나, 다른 형상도 가스 홈(158)의 패턴에 사용될 수도 있다.
교차하는 가스 홈(158)들 사이에 배열되는 하나 또는 그보다 많은 메사(157)는 퍽(150)과 지지대 기판으로부터 위로 연장하는 정방형 또는 장방형 블록, 원추형, 웨지형, 피라미드형, 지주형, 원통형 마운트, 또는 이들의 조합형일 수 있다. 일 실시예에서, 메사(157)의 높이는 약 50 마이크로미터 내지 약 700 미크론 범위일 수 있으며, 메사(157)의 폭(또는 직경)은 약 500 미크론 내지 약 5000 미크론 범위일 수 있다. 다른 예에서, 퍽(150)은 메사(157)를 포함하지 않으며 내부에 형성된 복수의 가스 홈(158: 예를 들어, 방사상 채널(214))을 갖춘 정면측 표면(206)을 포함할 수 있다.
퍽 베이스(162)는 알루미늄 산화물, 알루미늄 질화물, 실리콘 산화물, 실리콘 탄화물, 실리콘 질화물, 티타늄 산화물, 지르코늄 산화물 중 적어도 하나를 포함할 수 있으나, 다른 재료들도 사용될 수 있다. 퍽 베이스(162)는 퍽(150)의 최종 형상을 형성하도록 세라믹 분말을 고온 압축 및 소결한 후에 소결된 형체를 기계 가공함으로써 제조된 단일체일 수 있다.
도 3a는 본 발명의 일 실시예에 따른 도 1에 도시된 정전 척 조립체(124)의 개략적인 세부 횡단면도이다. 두 개의 척킹 전극(159)은 퍽(150)의 정면측 표면(206) 상에 있는 전기 절연 베이스(162) 내측에 부분적으로 매설된다. 명료함을 위해, 기판(S)은 도시되지 않았다. 상기 문장에서, "부분적으로 매설"은 척킹 전극(159)이 퍽 베이스(162)의 재료에 의해 완전히 둘러싸이거나 동봉되지 않았으며, 각각의 척킹 전극(159)의 한 측면이 유전체 재료로 코팅될 수 있는 정면측 표면(206)의 일부를 형성함을 의미한다. 다른 실시예에서, 하나의 척킹 전극(159)이 사용될 수 있다. 또 다른 실시예에서, 퍽(150)은 두 개 이상의 척킹 전극(159)을 포함할 수 있다.
퍽 베이스(162)는 서로로부터 그리고 기판(S)으로부터 척킹 전극(159)을 전기 절연을 위한 수단뿐만 아니라, 척킹 전극(159) 및 퍽(150)의 다른 구성 요소에 대한 열 전도 통로 및 기계식 지지대를 제공하기 위한 수단을 제공한다. 퍽 베이스(162)는 척킹 전극(159) 및 냉각 판(151) 사이에 두께"D"를 가진다.
척킹 전극(159)에 사용되는 재료는 전극 재료에 대한 열 팽창 계수(CTE)가 열 사이클 중에 퍽(150)을 손상할 수 있는 열적-기계적 응력을 피하고 CTE 불일치를 최소화하기 위해 전기 절연 퍽 베이스(162)의 CTE와 실질적으로 일치되도록 적합하게 선택될 수 있다. 일 실시예에서, 도전성 금속 매트릭스 조성물(MMC) 재료가 척킹 전극(159)에 사용된다. MMC 재료는 금속 매트릭스 및 그 금속 매트릭스 내에 매설되고 금속 매트릭스를 통해 분산되는 보강 재료를 포함한다. 금속 매트릭스는 단일 금속 또는 두 개 또는 그보다 많은 금속 또는 금속 합금을 포함할 수 있다. 이에 한정되지 않지만, 알루미늄, 망간, 티타늄, 코발트, 코발트-니켈 합금, 니켈, 크롬, 금, 은 또는 이들의 다양한 조합을 포함하는 금속이 사용될 수 있다. 보강 재료는 MMC를 위한 소정의 구조적 강도를 제공하도록 선택될 수 있으며, 또한 예를 들어, 열 전도성 및 CTE와 같은 MMC의 다른 특성들에 대한 소정의 값을 제공하도록 선택될 수 있다. 사용될 수 있는 보강 재료의 예에는 실리콘, 카본, 또는 실리콘 탄화물(SiC)이 포함되나, 다른 재료들도 사용될 수 있다.
척킹 전극(159)을 위한 MMC 재료는 바람직하게, 정전 척 조립체(124)에 대한 작동 온도 범위에 걸쳐 퍽 베이스(162) 재료의 CTE와 실질적으로 일치되며 소정의 전기 전도성을 제공하도록 선택된다. 일 실시예에서, 상기 온도는 약 20 ℃ 내지 약 400 ℃ 범위일 수 있다. 일 실시예에서, CTE를 일치시키는 것은 MMC 재료가 퍽 베이스 재료(162)에도 사용되는 적어도 하나의 재료를 포함하도록 MMC 재료를 선택하는 것을 포함한다. 일 실시예에서, 퍽 베이스(162)는 알루미늄 산화물(Al2O3)을 포함한다. 일 실시예에서, MMC 재료는 알루미늄 및 실리콘을 포함한다. 일 실시예에서, MMC 조성물은 약 13 중량% Al 및 약 87 중량% Si를 포함한다. 다른 실시예에서, MMC 조성물은 약 50 중량% Al 및 약 50 중량% Si를 포함한다. 또 다른 실시예에서, MMC 조성물은 약 30 중량% Al 및 약 70 중량% Si를 포함한다. 또 다른 실시예에서, MMC는 예를 들어, 알루미늄 실리콘 탄화물(AlSiC) 또는 티타늄 실리콘 탄화물(TiSiC)과 같은 적어도 3 개의 재료들을 포함할 수 있다.
MMC의 구성 재료와 구성비는 바람직한 설계 목적에 부합하는 공학적 재료를 제공하도록 선택될 수 있다. 예를 들어, 척킹 전극(159)과 퍽 베이스(162)의 CTE를 거의 일치시키도록 MMC 재료를 적합하게 선택함으로써, 퍽 베이스(162) 내의 열적-기계적 응력이 감소되어 덜 육중하고 보다 얇은 퍽 베이스(162)의 사용을 가능하게 하는데, 이는 베이스 두께(TP)가 정상적인 온도 사이클 중에 퍽 베이스(162)의 크랙 또는 파괴를 방지하는데 필요한 구조적 강도에 의해 부분적으로 결정되기 때문이다. 퍽 베이스(162)의 두께 감소는 퍽(150)의 비용 감소를 제공할 수 있다. 또한, MMC 재료는 몇몇 적용을 위해 다른 재료를 사용하는 것보다 저렴할 수 있다. 퍽 베이스(162)가 Al2O3를 포함할 때 척킹 재료를 위해 예를 들어, 몰리브덴이 사용될 수 있는데, 이는 몰리브덴이 Al2O3의 CTE에 대한 허용가능한 일치를 제공할 수 있는 CTE를 가지지만, 몰리브덴이 동일한 또는 거의 동일한 CTE 일치를 제공하는 MMC 재료를 사용하는 것보다 훨씬 더 비쌀 수 있다.
도 3a를 참조하면, 각각의 척킹 전극(159)의 전극 바닥면(300)은 퍽 베이스(162)에 접합된다. 접합 방법으로서 확산 접합법이 사용될 수 있지만, 다른 접합 방법도 사용될 수 있다. 일 실시예에서, 약 50 미크론(마이크로미터) 두께의 알루미늄 포일이 전극 바닥면(300)과 퍽 베이스(162) 사이에 놓이며 상기 알루미늄 포일과 Al-Si MMC 척킹 전극(159) 사이에 그리고 알루미늄 포일과 Al2O3 퍽 베이스(162) 사이에 확산 접합을 형성하도록 압력과 열이 가해진다. 다른 실시예에서, 척킹 전극(159)은 알루미늄 포일과 같은 중간층 재료의 사용을 필요로 하지 않는 직접 확산 접합법을 사용하여 퍽 베이스(162)에 직접적으로 접합된다.
퍽 베이스(162)에 척킹 전극(159)을 접합한 후에, 척킹 전극(159) 및 퍽 베이스(162)는 메사(157), 가스 홈(158), 외측 주변 링(161), 및/또는 정면측 표면(206)에 있는 외측 퍽(150) 피쳐들을 형성하도록 기계 가공될 수 있지만, 상기 피쳐들의 일부도 전극 접합 이전에 기계 가공될 수 있다. 일 실시예에서, 메사(157) 및 가스 홈(158)이 도 3a에 도시한 바와 같이 정면측 표면(206)에 있는 척킹 전극(159) 내에 형성되며, 메사 높이(MH)는 약 200 미크론 내지 약 1000 미크론 범위이다. 각각의 메사(157)도 메사(157)와 기판 사이의 전체 접촉 영역을 최소화하도록 작은 돌기 또는 범프(도시 않음)를 가질 수 있다.
도 3b는 본 발명의 일 실시예에 따른 도 3a에 도시된 정전 척 조립체(124)의 개략적인 세부 횡단면이다. 퍽 베이스(162)는 서로로부터 척킹 전극(159)을 전기 절연시킨다. 기판의 정전 척킹을 보장하기 위해, 척킹 전극(159)도 기판으로부터 전기 절열된다. 일 실시예에서, 척킹 전극(159) 및 척킹 전극 상에 형성된 피쳐들은 척킹 전극(159)과 기판(도시 않음) 사이에 전기 절연 유전체 층(301)을 제공하기 위해 정면측 표면(206)에서 표면 처리되거나 코팅된다. 다른 실시예에서, 유정체 층(301)은 척킹 전극(159)에 사용되는 MCC 재료의 CTE와 실질적으로 일치하는 CTE를 갖는 유전체 재료를 포함하며, 유전체 재료는 척킹 전극(159) 및 퍽 베이스(162)에 양호한 접합력을 제공하도록 적합하게 선택된다. 일 실시예에서, 유전체 층(301)은 퍽 베이스(162)의 CTE와 실질적으로 일치하는 CTE를 갖는 재료를 포함한다.
유전체 재료는 척킹 전극(159) 및 상기 척킹 전극 위에 형성되는 예를 들어, 메사(157) 및 가스 홈(158)과 같은 피쳐들 위에 얇고 균일한 유전체 층(301) 또는 코팅을 형성하도록 척킹 전극(159) 위에 등각 증착된다. 유전체 재료는 척킹 전극(159) 및 상기 퍽(150)의 정면측 상의 퍽 베이스(162)의 일부분을 덮는 블랭킷 코팅으로서 도포된다. 다른 실시예에서, 유전체 층(301)은 두 개 또는 그보다 많은 층들을 포함하며, 그 각각 층은 블랭킷 코팅으로서 순차적으로 증착된다.
유전체 층(301)은 붕소 질화물, 알루미늄 산화물(Al2O3), 다이아몬드형 탄소(DLC), DLC 매트릭스 조성물 재료, Dylyn(등록상표), 또는 이들의 조합 중 하나를 포함할 수 있지만, 다른 형태의 유전체 재료도 사용될 수 있다. 다른 실시예에서, 유전체 층(301)은 약 10 GPa(기가-파스칼) 내지 약 25 GPa 범위의 경도를 제공한다. 일 실시예에서, 유전체 층(301)은 약 0.15 내지 약 5.0 범위의 정지마찰 계수를 가진다. 다른 실시예에서, 유전체 층(301)은 약 0.05 내지 약 0.2 범위의 정지 마찰계수를 가진다. 유전체 층(301)은 아아크-스프레이, 화학 기상 증착(CVD), 스퍼터링, 또는 플라즈마-보조 CVD에 의해 증착될 수 있지만, 다른 증착 방법도 사용될 수 있다.
유전체 층(301)은 약 10 미크론 내지 약 1000 미크론 범위일 수 있는 두께"d"를 가지나, 다른 두께도 사용될 수 있다. 일 실시예에서, 상기 두께"d"는 약 200 미크론 내지 약 800 미크론 범위이다. 다른 실시예에서, 두께"d"는 약 1 미크론 내지 약 10 미크론 범위이다. 전극 두께(TE)는 메사(157) 및 가스 홈(158)과 같은 피쳐를 기계 가공하고 유전체 층(301)의 증착 이후에 소정의 메사 높이(MH)를 제공하는데 충분한 두께를 제공하도록 적합하게 선택될 수 있다. 일 실시예에서, 전극 두께(TE)는 약 500 미크론보다 크다.
일 실시예에서, 척킹 전극(159)은 플라즈마(102)를 구동시키도록 RF 플라즈마 전력 공급원(117A)에 연결된다(도 1 참조). 플라즈마(102)를 효과적으로 구동시키기 위해, 플라즈마(102) 쪽으로 지향되는 전방 RF 에너지(350)의 전송을 최대화하며 플라즈마(102)로부터 멀어지는 쪽으로 지향되는 후방 RF 에너지(351)의 전송을 최소화하는 것이 바람직하다. 퍽 베이스(162)는 척킹 전극(159) 아래로 두께"D"를 가진다. 플라즈마(102) 쪽으로의 RF 에너지의 우선적인 전송은 퍽 베이스(162)와 관련한 유전체 층(301)을 통한 RF 전송을 위한 용량성 임피던스를 감소시킴으로써 촉진될 수 있다. 예를 들어, 두께비"d/D"를 감소시킴으로써, 전방 RF 에너지(350)는 증가되며 후방 RF 에너지(351)는 감소된다. RF 전송과 관련한 유사한 효과가 퍽 베이스(162)에 대한 유전체 상수와 관련한 유전체 층(301)에 대한 유전체 상수를 증가시킴으로써 일정한 두께비"d/D"에 대해 달성될 수 있는데, 이는 용량성 임피던스가 전송 매체의 유전체 상수에 역관계일 수 있기 때문이다. 일 실시예에서, 퍽 베이스(162)는 유전체 상수(301)의 두께"d"보다 훨씬 큰 두께"D"를 가진다.
척킹 전극(159) 위에 유전체 층(301)의 도포시 하나의 장점은 상기 층의 특성이 훨씬 더 쉽게 제어될 수 있다는 점이다. 예를 들어, 퍽 베이스(162)는 퍽 베이스(162) 전체에 걸친 유전체 상수의 변동 원인이 될 수 있는 소결 공정을 사용하여 제작될 수 있다. 정면측 표면(206) 상의 척킹 전극(159)을 덮기 위해 증착된 유전체 층(301)을 사용하는 것은 층 두께와 유전체 상수의 변동을 덜 초래하며, 이는 차례로 전방 RF 에너지(350)의 전송에 대한 더욱 양호한 제어를 제공할 수 있다. 또한, 척킹 전극(159)을 덮기 위해 별도의 유전체 층(301)을 사용하는 것은 퍽 설계가 비용 효과적인 방식으로 폭넓은 주파수 범위에 걸친 효율적인 RF 전력 분배를 위해 전환될 수 있도록 유전체 층(301)에 대한 재료와 두께를 선택할 수 있게 한다. 일 실시예에서, 정전 척 조립체(124)는 최소 RF 전력 손실로 약 0.5 메가헤르쯔 내지 약 60 메가헤르쯔의 주파수 범위에 걸쳐 RF 전력을 효율적으로 분배할 수 있다.
도 4a는 본 발명의 다른 실시예에 따른 도 3a에 도시된 정전 척 조립체의 개략적인 세부 횡단면도이다. 전술한 바와 같이, 두 개의 척킹 전극(159)이 퍽 베이스(162) 내측에 부분적으로 매설된다. 척킹 전극(159) 및 퍽 베이스(162)는 퍽(150)의 정면측 표면(206)을 형성한다. 유전체 재료가 정면측 표면(206) 상에 유전체 층(301)을 형성하도록 척킹 전극(159) 및 퍽 베이스(162) 상에 증착된다. 유전체 층(301)의 두께는 예를 들어, 메사(157) 및 가스 홈(158)과 같은 피쳐들이 유전체 층(301) 내측으로 기계 가공될 수 있도록 적합하게 선택된다.
도 4b는 본 발명의 일 실시예에 따른 도 4a에 도시된 정전 척 조립체의 개략적인 세부 횡단면도이다. 유전체 층(301)의 최대 두께(dMAX)는 피쳐들이 유전체 층(301) 내측으로 기계 가공된 이후에 유전체 층(301)의 소정의 최소 두께(dMIN)가 정면측 표면(206) 상의 척킹 전극(159) 및 퍽 베이스(162)를 덮도록 유지될 수 있게 선택된다. 일 실시예에서, 최소 두께(dMIN)는 약 10 미크론 내지 약 300 미크론 범위이다. 유전체 층(301)에 사용될 수 있는 유전체 재료 및 증착 기술은 본 명세서에서 설명되었다.
도 5a는 본 발명의 다른 실시예에 따른 도 1에 도시된 정전 척 조립체의 개략적인 세부 횡단면도이다. 퍽(150)은 정면측 표면(206), 상부 퍽 판(550A), 및 상기 퍽(150) 상의 중앙에 위치된 접합 영역(504) 내에 배열되는 상승부(503)에서 함께 접합되는 하부 퍽 판(550B)을 포함한다. 상부 퍽 판(550A)은 척킹 전극(159), 및 상부 퍽 판(550A)을 가열하기 위한 히터 전력 공급원(501)에 전기 접속되는 하나 또는 그보다 많은 가열 요소(502)를 포함한다. 하부 퍽 판(550B)은 유체 공급원(142)과 유체 소통되는 하나 또는 그보다 많은 냉각 채널(506)을 갖춘 냉각 판(505)과 열적으로 소통되게 연결된다. 냉각 판(505)은 챔버 내부 체적(120)과 정전 척 조립체(124)의 내부 체적(156) 사이에 진공 밀봉을 제공하도록 하부 퍽 판(550B)과 지지대 하우징(149) 사이에 위치된 하나 또는 그보다 많은 O링(154)에 근접 배열된다. 하부 퍽 판(550B) 및/또는 지지대 하우징(149)은 O링(154)용 O링 홈(508)을 포함할 수 있다.
상부 퍽 판(550A)은 퍽 베이스(162)에 대해 전술한 전기 절연재료를 포함할 수 있다. 일 실시예에서, 상부 퍽 판(550A)은 가열 요소(502)에 의해 생성된 열이 기판으로 더욱 효율적으로 분배될 수 있도록 하나 또는 그보다 많은 열 전도성 재료를 포함한다. 하부 퍽 판(550B) 및 상부 퍽 판(550A)은 동일한 재료를 포함할 수 있다. 일 실시예에서, 하부 퍽 판(550B)은 상부 퍽 판(550A)에 사용되는 재료와 상이한 재료를 포함한다. 일 실시예에서, 하부 퍽 판(550B)은 금속 매트릭스 보합 재료를 포함한다. 일 면에서, 금속 매트릭스 복합 재료는 알루미늄 및 실리콘을 포함한다. 일 면에서, 상부 퍽 판(550A)은 알루미늄 질화물을 포함하며 하부 퍽 판(550B)은 알루미늄 실리콘 탄화물의 복합재료를 포함한다. 또 다른 일면에서, 하부 퍽 판(550B)은 금속 또는 금속 합금을 포함한다.
도 5a를 참조하면, 두께(TG)를 갖는 갭(G)은 상부 퍽 판(550A) 및 하부 퍽 판(550B)이 퍽(150)을 형성하도록 함께 접합될 때 형성된다. 일 실시예에서, 확산 접합법이 접합 방법으로서 사용되나, 다른 접합 방법들도 사용될 수 있다. 일 실시예에서, 상부 퍽 판(550A) 및 하부 퍽 판(550B)은 알루미늄을 포함하는 재료들을 포함하며, 상승부(503)는 상부 퍽 판(550A) 및 하부 퍽 판(550B) 사이의 접합 영역(504)에 놓이는 알루미늄 포일의 중간 층을 포함하며, 상기 알루미늄 포일과 상부 퍽 판(550A) 사이 및 상기 알루미늄 포일과 하부 퍽 판(550B) 사이에 확산 접합을 형성하도록 압력과 열이 가해진다. 다른 실시예에서, 확산 접합은 상부 퍽 판(550A) 및 하부 퍽 판(550B)에 사용되는 재료들을 기초로 선택되는 다른 중간 층 재료들을 사용하여 형성될 수 있다. 다른 실시예에서, 상부 퍽 판(550A)은 접합을 형성하는데 중간 층이 사용되지 않는 직접 확산 접합법을 사용하여 하부 퍽 판(550B)에 직접적으로 접합될 수 있다.
상승부(503)는 중간 층 재료, 또는 접착제, 또는 상부 퍽 판(550A)의 일부 및/또는 하부 퍽 판(550B)의 일부, 또는 이들의 조합을 포함할 수 있다. 상승부(503)는 두께(TG)를 갖는 갭(G)을 형성한다. 일 실시예에서, 두께(TG)는 약 20 미크론 내지 약 1000 미크론 범위이다. 갭(G)은 상부 퍽 판(550A)과 하부 퍽 판(550B) 사이의 접촉 면적을 최소화함으로써, 상부 퍽 판(550A)과 하부 퍽 판(550B) 사이에 존재할 수 있는 어떤 온도차나 CTE차로 인한 열적-기계적 응력을 최소화한다. 상부 퍽 판(550A)과 하부 퍽 판(550B)은 열 사이클 중에 접합 영역(504)의 외측과 무관하게 팽창 또는 접촉하지 않는다(도 5b 참조).
또한, 접합 영역(504)은 가열된 상부 퍽 판(550A)으로부터 가열되지 않은 하부 퍽 판(550B)으로의 열 전도 경로를 제한함으로써 열적 쵸크(thermal choke)로서의 역할을 할 수 있다. 진공 환경 하에서, 열 전달은 전도 매체가 제공되지 않는 한 주로 복사 공정(radiative process)일 수 있다. 퍽(150)이 기판 처리 중에 진공 환경 하에 배열될 수 있기 때문에, 가열 요소(502)에 의해 생성된 열은 방사상 교차 갭(G)에 의한 것보다 접합 영역(504)을 통한 전도에 의해 더 효율적으로 전달될 수 있다. 그러므로, 접합 영역(504)의 크기를 조절함으로써, 상부 퍽 판(550A)으로부터 하부 퍽 판(550B)으로 흐르는 열 플럭스가 제어될 수 있으며, 접합 영역(504)이 열적 쵸크로서의 기능을 한다. 기판에 대한 효율적인 가열을 제공하기 위해, 상부 퍽 판(550A)으로부터 멀리 그리고 접합 영역(504)을 통해 전도되는 열량을 제한하는 것이 바람직하다. 다른 한편으로, 접합 영역(504)에 걸친 커다란 온도차는 접합 영역(504)에서의 수용 불가능한 열적-기계적 응력의 원인이 될 수 있다. 그러므로, 접합 영역(504)의 면적은 바람직하게, 접합 영역(504)에서의 수용 불가능한 열적-기계적 응력을 생성함이 없이 기판의 효율적인 가열을 제공하도록 선택된다.
접합 영역(504)에 의해 형성되는 열적 쵸크는 또한, 진공 시일을 형성하는데 사용되는 하나 또는 그보다 많은 O형 링(154) 상의 열 응력을 최소화하기 위해 열 전도 경로를 직선화 및 길게 하는데 도움을 줄 수 있다. 예를 들어, 열 전도 경로(ABC)는 상부 퍽 판(550A)의 지점(A)에서 시작되어, 접합 영역(504)을 통해 하부 퍽 판(550B)의 지점(B)으로 계속되며, 냉각 판(505)을 지나 계속된 후에 O링(154) 근처의 지점(C)에서 종결된다. 접합 영역(504)으로부터의 O형 링(154)의 거리를 증가시킴으로써, 상부 퍽 판(550A) 내의 어떤 지점으로부터 O형 링까지의 열 전달 경로의 길이도 증가될 수 있는데, 이는 열 전도 경로(ABC)를 따라 발생할 수 있는 전도열 및 복사열 손실로 인한 지점(A)으로부터 지점(C)까지의 상당한 온도 하강의 원인이 될 수 있다. 또한, 냉각 판(505)은 O형 링(154)의 냉각을 돕도록 접합 영역(504)과 O형 링(154) 사이에 놓인다.
도 5b는 본 발명의 일 실시예에 따른 도 5a에 도시된 정전 척 조립체의 개략적인 횡단면도이다. 접합 영역(504)은 내경(R1) 및 외경(R2)을 갖는 환형 영역 내에 배열된 상승부(503)를 포함한다. 중앙 영역(507)은 내경(R1) 내에 놓이며 가스 도관 및 전기 도선(도시 않음)을 포함할 수 있다. 냉각 판(505)은 환형 형상이며 폭(W)을 가지며, 접합 영역(504)으로부터의 거리(d23 = R3 - R2) 및 반경 거리(R3)를 가진다. 냉각 판(505)에 인접한 것은 반경 거리(R4)를 가지며 냉각 판(505)에 의해 냉각되는 0형 링(154)이다. 바람직하게, 상부 퍽 판(550A)으로부터 하나 또는 그보다 많은 O형 링(154)으로 긴 열 전도 경로(ABC)를 제공함으로써 하나 또는 그보다 많은 O형 링(154)이 냉각되도록 도움을 주기 위한 반경 거리(R4)가 선택된다. 일 실시예에서, 하나 또는 그보다 많은 O형 링(154)은 하부 퍽 판(550B)의 하부 판 주변(552) 근처에 위치된다. 또한, 반경 거리(R3)는 바람직하게, 냉각 판(505)이 하나 또는 그보다 많은 O형 링(154)에 근접하도록 선택된다.
접합 영역(504)의 내경(R1) 및 외경(R2)을 조절하고 반경 거리(R3,R4)를 조절함으로써, 접합 영역(504)을 통과하는 열 플럭스 및 O형 링(154)의 온도는 상부 퍽 판(550A)을 위한 작동 온도 범위에 대해 제어될 수 있다. 일 실시예에서, 상부 퍽 판(550A)을 위한 작동 온도는 약 250 ℃ 내지 약 450 ℃ 범위이다.
열 전도 경로는 도 5b에 도시된다. 열은 상부 퍽 판(550A)의 지점(A)으로부터 외경(R2)에 있는 접합 영역(504)의 주변부 근처의 지점(B)으로, 그리고 하부 퍽 판(550B) 및 하부 퍽 판(550B)의 지점(C)으로 전도된다. 지점(A)으로부터 지점(C)으로의 점선 화살표는 지점(A)으로부터 지점(B)으로 직접적으로 전도되지 않는데, 이는 도 5a에 도시한 바와 같이 갭(G)이 두 개의 지점을 분리시키기 때문이다.
도 6a는 본 발명의 또 다른 실시예에 따른 도 1에 도시된 정전 척 조립체(124)의 사시도이다. 정전 척 조립체(124)는 퍽(150), 냉각 판(601), 및 지지대 샤프트(112)를 포함하는 벨로우즈 조립체(110)를 포함한다. 퍽(150)은 냉각 판(601)에 연결되며, 냉각 판(601)은 벨로우즈 조립체(110)에 연결된다. 정전 척 조립체(124)는 퍽(150)이 냉각 판(601)으로부터 분리될 수 있으며 냉각 판(601)이 벨로우즈 조립체(110)로부터 분리될 수 있도록(도 6e 참조) 분해되게 적합하게 채택된다.
퍽(150)은 전기 절연 퍽 베이스(162), 그리고 가스 홈(158)과 메사(157)와 외측 주변 링(161)과 리프트 핀 구멍(204) 및 에지 링(도시 않음)을 지지할 수 있는 제 1 플랜지(153)를 포함하는 정면측 표면(206)을 포함한다. 전술한 피쳐들에 대한 다양한 실시예 및 상기 퍽(150)의 상기 피쳐들을 위해 사용된 재료에 대한 다양한 실시예들이 본 명세서에 설명된다. 퍽(150)은 또한 퍽(150)을 냉각 판(601)에 체결하기 위한 볼트(도 6b 참조)를 수용하는 퍽 볼트 구멍(602)을 포함한다. 단지 세 개의 퍽 볼트 구멍(602)이 도 6a에 도시되었지만, 어떤 수의 퍽 볼트 구멍(602)도 사용될 수 있으며, 이들 각각의 볼트 구멍은 퍽(150) 상의 임의의 위치에 배열될 수 있다. 각각의 퍽 볼트 구멍(602)은 또한, 각각의 볼트 헤드가 퍽(150)의 표면과 일직선 상 또는 퍽의 표면보다 오목한 중앙 보어(도 6d)를 포함할 수 있다.
도 6b는 본 발명의 일 실시예에 따른 도 6a에 도시된 정전 척 조립체의 개략적인 횡단면도이다. 기판(S)이 추가되었으며 가스 유동 패턴을 명확히 나타내기 위해 메사(157)는 도 6b에 도시되지 않았다. 기판(S)은 외측 주변 링(161) 및 메사(157)에 의해 퍽(150)의 정면측 표면(206) 상에 지지된다. 냉각 판(601)은 냉각 판(601) 내의 구멍을 통과하며 벨로우즈 장착 플랜지(6140 내에 배열된 치형 구멍에 의해 수용되는 판 장착 볼트(615)에 의해 벨로우즈 조립체(110)에 장착된다. 단지 두 개의 판 장착 볼트(615)가 도 6b의 횡단면도에 도시되었지만, 냉각 판(601)을 벨로우즈 장착 플랜지(614)에 체결시키기 위해 어떤 수의 판 장착 볼트(615)도 사용될 수 있다. 퍽(150)은 퍽 볼트 구멍(602) 내에 위치된 퍽 장착 볼트(615)에 의해 냉각 판(601)에 장착된다.
벨로우즈 조립체(110)는 벨로우즈 장착 플랜지(614), 지지대 샤프트(112), 벨로우즈 용접부(611), 상부 벨로우즈 플랜지(613), 및 하부 벨로우즈 플랜지(164)를 포함한다. 지지대 샤프트(112) 및 상부 벨로우즈 플랜지(613)는 벨로우즈 장착 플랜지(614)에 결합되며, 상기 벨로우즈 용접부(611)는 상부 벨로우즈 플랜지(613) 및 하부 벨로우즈 플랜지(164)에 결합된다. 사용된 결합 방법(예를 들어, 용접, 브레이징(brazing))은 정전 척 조립체(124)가 챔버(100)에 장착될 때(도 1 참조) (대기압일 수 있는)내측 체적(156)과 (기판 처리 중에 대기압 이하에 있는)챔버 내부 체적(120) 사이에 진공 시일이 형성될 수 있도록 적합하게 선택되고 제어된다. 하부 벨로우즈 플랜지(164)는 복수의 볼트(도시 않음)를 사용하여 챔버(100)의 바닥에 장착되며, O형 링(165)은 정전 척 조립체(124)의 내부 체적(156)으로부터 챔버 내부 체적(120)을 격리시키도록 하부 벨로우즈 플랜지(164)와 챔버(100)의 바닥면(126) 사이에 배열된다. 하부 벨로우즈 플랜지(164)가 지지대 샤프트(112)에 연결되지 않음으로써, 지지대 샤프트(112)가 하부 벨로우즈 플랜지(164)에 대해 자유롭게 이동한다.
벨로우즈 장착 플랜지(614)는 챔버 내부 체적(120)이 정전 척 조립체(124)의 내부 체적(156)과 유체 소통되지 않도록 진공 시일을 제공하는 O형 링(619)을 위한 플랜지 O형 링 홈(623)을 포함한다. 다른 실시예에서, 단지 냉각 판(601)이 O형 링 홈을 가지며 벨로우즈 장착 플랜지(614)는 플랜지 O형 링 홈(623)을 갖지 않는다. 또 다른 실시예에서, 하나 이상의 플랜지 O형 링 홈(623) 및 O형 링(619)이 진공 시일을 제공하는데 사용된다.
냉각 판(601)은 하나 또는 그보다 많은 판(608), 복수의 냉각 채널(160), 두 개 또는 그보다 많은 냉각 유체 도관(628), 및 가스 도관(604)을 포함한다. 냉각 채널(168)은 냉각 유체를 제공하는 유체 공급원(142)과 유체 소통되는 하나 또는 그보다 많은 냉각 루프 또는 회로를 형성한다. 냉각 채널(160)은 정방형, 장방형, 원형, 또는 다른 형상의 횡단면을 가질 수 있다. 두 개 또는 그보다 많은 냉각 유체 도관(628)은 판(608)에 연결되며 냉각 채널(160)과 유체 소통된다. 냉각 유체 도관(628)은 냉각 판(601)으로부터 지지대 샤프트(112)의 중공형 내부를 통해 연장한다. 냉각 유체 도관(628)은 어떤 적합한 누설 방지 커플링 장치(631)를 사용하여 유체 공급원(142)에 연결된다.
일 실시예에서, 냉각 유체 도관(628)은 냉각 채널(160)을 형성하도록 루프 형태로 형상화된 튜브의 양 단부를 포함한다. 형상화된 튜브는 튜브를 판(608)에 열적으로 연결하도록 판(608)에 (예를 들어, 용접 또는 브레이징에 의해)접합된다. 다른 실시예에서, 냉각 채널(160)은 판(608) 내에 (예를 들어, 기계 가공에 의해)형성된 채널을 포함한다. 상기 판(608)은 알루미늄, 구리, 황동, 스테인리스 스틸, 또는 다른 적합한 재료와 같은 임의의 열 전도성 재료를 포함할 수 있다.
가스 도관(604)은 가스 공급원(141)으로부터 기판(S)의 후면(606)으로 열 전달 가스(603)를 분배하기 위한 수단을 제공한다. 가스 도관(604)은 챔버 내부 체적(120)이 정전 척 조립체(124)의 내부 체적(156)과 격리 상태를 유지하도록 냉각 판(601)에 연결된다. 일 실시예에서, 가스 도관(604)은 상기 판(608)과 튜브 외측면 사이에 진공 시일을 형성하도록 상기 판(608)에 용접 또는 브레이징되는 튜브를 포함한다. 가스 도관(604)은 냉각 판(601)으로부터 지지대 샤프트(112)를 통해 연장하며 어떤 적합한 가스 라인 커플링 장치(633)를 사용하여 가스 공급원(141)에 연결된다.
냉각 판(601)은 또한, 하나 또는 그보다 많은 중앙 개구(639), 복수의 제 1 볼트 구멍(634), 제 2 볼트 구멍(637), 및 상기 냉각 판(601)을 통해 연장하는 리프트 핀 구멍(635)을 포함한다. 하나 또는 그보다 많은 중앙 개구(639)는 퍽(150)으로부터의 전기 도선 및/또는 다른 요소(예를 들어, 열전쌍)가 정전 척 조립체(124)의 지지대 샤프트(112) 및 냉각 판(601)을 통과하도록 냉각 판(601)의 중앙 근처에 있는 구멍을 포함한다. 제 1 볼트 구멍(634)은 판 장착 볼트(615)를 수용하며, 제 1 볼트 구멍(634)은 각각의 판 장착 볼트(615)가 판(608)의 표면(636)과 일직선 상에 있거나 판(608)의 표면(636)으로부터 오목한 대응 보어를 포함할 수 있다. 냉각 판(601)의 리프트 핀 구멍(635)은 리프트 핀(109: 도 1 참조)이 퍽(150) 또는 냉각 판(601)과의 접촉 없이 구멍을 통해 이동될 수 있도록 퍽(150)의 리프트 핀 구멍(204)과 정렬된다. 냉각 판(601)의 제 2 볼트 구멍(637)은 퍽 장착 볼트(616)를 사용하여 퍽(150)이 냉각 판(601)에 체결될 수 있게 한다.
냉각 판(601)은 냉각 판(601)의 표면(636)으로부터 상부로 연장하는 상승부를 포함한다. 상기 상승부는 하나 또는 그보다 많은 외측 스텝(609) 및 내측 스텝(610)을 포함하며, 이들 각각의 스텝은 퍽(150)을 지지하는 상부면(638)을 가진다. 하나 또는 그보다 많은 외측 스텝(609)은 냉각 판(601)의 주변부 근처에 배열되며, 내측 스텝(610)은 하나 또는 그보다 많은 외측 스텝(609)에 대해 내측에 위치된다. 일 실시예에서, 외측 스텝(609)은 냉각 판(601)의 주변부 근처에 배열된 상승된 환형 링을 포함하며, 내측 스텝(610)은 각각 중앙 개구(639), 리프트 핀 구멍(635), 및 제 2 볼트 구멍(637)을 에워싸는(도 6e 참조) 상승된 환형 링을 포함한다.
각각의 외측 스텝(609) 및 내측 스텝(610)은 약 30 미크론 내지 약 1000 미크론 범위의 스텝 높이(HS)를 가진다. 일 실시예에서, 스텝 높이(HS)는 약 200 미크론 내지 약 400 미크론 범위이다. 퍽(150)이 냉각 판(601)에 장착될 때, 가스 유도 갭(632)이 퍽(150)과 냉각 판(601) 사이에 형성된다. 가스 유도 갭(632)은 대략 스텝 높이(HS)와 동일한 균일한 갭 높이를 가진다.
가스 유도 갭(632)은 이후에 더욱 상세히 설명하는 바와 같이, 부품들 사이의 접촉을 제한함으로써 퍽(150)과 냉각 판(601) 사이의 열적-기계적 응력을 최소화하는데 도움을 준다. 가스 유도 갭(632)은 또한, 열 전달 가스(603)를사용하여 퍽(150)과 냉각 판(601) 사이의 열적 커플링을 가능하게 한다. 퍽(150)과 냉각 판(601) 사이의 열적 커플링은 열 전달 가스(603)에 사용되는 가스의 압력, 유동 속도 및/또는 형태를 변경시키는 것을 포함할 수 있는 하나 또는 그보다 많은 수단들에 의해 조절될 수 있다. 열 전달 가스(603)는 가스 도관(604)으로부터 가스 유도 갭(632) 및 퍽(150) 내의 복수의 가스 구멍(605)으로, 그리고 가스 구멍(605)으로부터 가스를 기판(S)의 후면(606)으로 분배하는 가스 홈(158)으로 유도된다.
도 6b를 참조하면, 퍽(150)은 가열 요소(502) 및 두 개의 척킹 전극(159)을 포함한다. 다른 실시예에서, 퍽(150)은 하나의 척킹 전극(159) 또는 두 개 이상의 척킹 전극(159)을 포함할 수 있다. 퍽(150)은 또한 열 전달 가스(603)가 가스 유도 갭(632)으로부터 가스 홈(158)으로 유동할 수 있도록 퍽(150)을 통해 연장하는 하나 또는 그보다 많은 가스 구멍(605)을 포함한다. 일 실시예에서, 가스 구멍(605)의 직경은 대략적으로 가스 홈(158)의 폭보다 같거나 적을 수 있다. 가스 구멍(605)은 또한 정면측 표면(206) 상의 임의의 위치에 배열되거나 임의의 패턴으로 분포될 수 있다.
가열 요소(502)는 전기 접점(640B)에서 히터 전력 전도체(629)에 전기 접속되는 한 쌍의 제 1 피드쓰루 전도체(625)에 전기 접속된다. 히터 전력 전도체(629)는 그 후에 전기 접점(640A)에서 히터 전력 공급원(501)에 접속된다. 유사하게, 척킹 전극(159)은 한 쌍의 제 2 피드쓰루 전도체(625) 및 척킹 전력 전도체(630)를 통해 척킹 전력 공급원(140)에 전기 접속된다. 히터 전력 전도체(629) 및 척킹 전력 전도체(630)는 지지대 샤프트(112) 내에 부분적으로 위치되는 전기 절연 도선을 포함할 수 있다. 피드쓰루 전도체(625)의 길이는 명료함을 위해 과장되었으며, 전기 접점(640B)은 지지대 샤프트(112) 내에 위치될 수 있다. 다른 실시예에서, 하나 또는 그보다 많은 RF 전력 공급원들은 척킹 전력 공급원(140) 이외에도 척킹 전극(159)에 전기 접속된다.
피드쓰루 전도체(625)는 진공 피드쓰루(622)의 일부분을 형성하는 전기 유도 요소(예를 들어, 금속 로드 및/또는 와이어)를 포함한다. 진공 피드쓰루(622)는 또한 복수의 볼트(도시 않음)를 사용하여 중앙 개구(639) 위의 냉각 판(601)에 장착되는 피드쓰루 플랜지(621)를 포함한다. 피드쓰루 O형 링(620)은 피드쓰루 플랜지(621)와 냉각 판(601) 사이에 진공 시일을 제공한다. 진공 피드쓰루(622)는 진공 시일이 각각의 피드쓰루 전도체(625)와 피드쓰루 플랜지(621) 사이에 형성됨으로써, 중앙 개구(639)의 체적으로부터 내부 체적(156)을 격리시키는 동안 피드쓰루 전도체(625)가 피드쓰루 플랜지(621)를 통과할 수 있도록 적합하게 구성된다. 또한, 진공 피드쓰루(622)는 전도체가 플랜지를 통과할 때 피드쓰루 전도체(625)가 서로로부터 그리고 (금속으로 제조될 수 있는)피드쓰루 플랜지(621)로부터 전기 절연되게 유지한다.
다른 실시예에서, 진공 피드쓰루(622)는 예를 들어, 퍽(150) 내의 가열 요소(502)와 척킹 전극(159)의 수에 따라 4 개보다 많거나 적은 피드쓰루 전도체(625)를 포함할 수 있다. 또 다른 실시예에서, 냉각 판(601)은 하나 이상의 중앙 개구(639)를 포함하며, 진공 피드쓰루(622)는 각각의 중앙 개구(639) 내에 장착된다. 예를 들어, 제 2 중앙 개구(639) 및 진공 피드쓰루(622)는 퍽(150)에 연결된 하나 또는 그보다 많은 온도 센서(예를 들어, 열전쌍)에 사용될 수 있다. 진공 피드쓰루(622)는 특정 적용을 위해 설계되거나, 부품 비용을 감소시키기 위해 상업적으로 이용가능한 피드쓰루가 사용될 수 있다.
내부 스텝(610) 및 외부 스텝(609)의 상면(638)은 퍽(150)과 접촉할 수 있으나, 퍽(150)과 유체 시일을 형성하지는 않는데, 이는 퍽(150)이 퍽 장착 볼트(616)의 힘에 의해 상면(638)에 대해 단순히 압박되기 때문이다. 따라서, 열 전달 가스(603)는 스텝의 상면(638)과 퍽(150) 사이로 누출되어 챔버 내부 체적(120)으로 유동할 수 있다. 열 전달 가스(603)는 또한 예를 들어, 리프트 핀 구멍(635), 제 2 볼트 구멍(637), 및 중앙 개구(639) 내측으로 유동된 후에, 챔버 내부 체적(120)으로 유동할 수 있다. 그러나, 중앙 개구(639) 내측으로 누설되는 열 전달 가스(603)는 진공 피드쓰루(622)의 피드쓰루 O형 링(620)을 지나쳐 유동하지는 않는다. 그러므로, 중앙 개구(639) 내의 열 전달 가스(603)의 압력은 기판 처리 중에 챔버 내부 체적(120) 내의 가스 압력을 초과하는 값으로 증가할 수 있다. 가스압의 증가와 전류 이송 피드쓰루 전도체(625)의 존재로 중앙 개구(639) 내에 아아킹을 유발할 수 있다. 그와 같은 아아킹을 방지하기 위해, 통풍 구멍(627)이 챔버 내부 체적(120)으로 소통될 수 있다.
도 6c는 본 발명의 일 실시예에 따른 도 6b에 도시된 통풍 구멍(627)의 상세도이다. 통풍 구멍(627)은 중앙 개구(639)의 측벽(642) 내부의 제 1 구멍(643)을 냉각 판(601)의 바닥면(643)에 있는 제 2 구멍(644)에 연결함으로써 중앙 개구(639)의 중앙 개구 체적(645)이 챔버 내부 체적(120)과 유체 소통되게 하는 통풍 구멍 채널(641)을 포함한다. 제 2 구멍(644)은 챔버 내부 체적(120)과 유체 소통되는 냉각 판(601)의 표면 상에 제 2 구멍(644)이 배열되도록 O형 링(619)의 외경 외측에 배열된다. 통풍 구멍(627)은 각각의 체적의 압력이 균등화되도록 중앙 개구 체적(645)과 챔버 내부 체적(120) 사이에 유체 소통을 제공하는 임의의 채널 형상을 포함할 수 있다. 다른 실시예에서, 냉각 판(601)은 하나 이상의 중앙 개구(639)를 포함하며 각각의 중앙 개구(639)는 통풍 구멍(627)을 가진다.
중앙 개구 체적(645) 및 가스 유도 갭(632)은 정전 척 조립체(124) 내부에 적어도 두 개의 압력 영역을 포함할 수 있다. 제 1 압력 영역은 통풍 구멍(627)으로 인해 챔버 내부 체적(120)의 압력과 거의 동일한 압력을 가지는 중앙 개구 체적(645)을 포함한다. 제 2 압력 영역은 가스 도관(604)에 의해 공급되는 열 전달 가스(603)의 가스 압력 및 퍽(150) 내의 가스 구멍(605)을 통한 유동 컨덕턴스에 의해 부분적으로 결정되는 압력을 가지는 가스 유도 갭(632)을 포함한다.
도 6b를 참조하면, 퍽(150)은 제 2 볼트 구멍(637)을 통과하며 냉각 판(601) 내측으로 나사결합되는 퍽 장착 볼트(616)를 사용하여 냉각 판(601)에 체결된다. 퍽(150)과 냉각 판(601)이 기판 처리 중에 온도 사이클을 순환하기 때문에, 퍽(150)과 냉각 판(601)은 팽창 및 수축된다. 퍽(150)과 냉각 판(601)은 상이한 재료로 제조될 수 있고 상이한 CTE를 가지므로, 각각의 부품의 팽창과 수축 또한 상이할 수 있다. 퍽(150) 및/또는 냉각 판(601)을 손상시킬 수 있는, CTE 불일치로 인한 과도한 기계적 응력을 방지하기 위해, 퍽(150)과 냉각 판(601)은 서로에 대해 이동할 수 있다. 전술한 바와 같이, 퍽(150)은 내부 스텝(610) 및 외부 스텝(609) 상에만 놓이므로, 퍽(150)은 스텝의 상부면(638)을 가로질러 자유롭게 이동한다. 이동 자유도는 퍽 장착 볼트(616)에 의해 제한되므로, 냉각 판(601)은 퍽 장착 볼트(616)가 상부면(638)에 거의 평행한 방향으로 조금 이동함으로써 상부면(638)에 대한 퍽(150)의 소정의 이동을 제공하여 퍽(150) 내의 바람직하지 않은 기계적 응력을 방지하도록 적합하게 채용된다. 냉각 판(601)은 또한 퍽 장착 볼트(616)를 사용하여 온도 사이클 중에 냉각 판(601)과의 정렬 상태를 유지하고 냉각 판에 단단히 체결되도록 적합하게 채용된다.
일 실시예에서, 각각의 퍽 장착 볼트(616)는 인서트 오목부(618) 내에 위치된 인서트(617) 내측에 나사 결합된다. 인서트 오목부(618)는 상부면(638)에 거의 평행한 방향으로 인서트(617)와 퍽 장착 볼트(616)가 조금 이동될 수 있도록 적합하게 구성된다. 제 2 볼트 구멍(637)을 위한 구멍 직경은 퍽 장착 볼트(616)가 구멍 내에서 조금 이동될 수 있도록 적합하게 선택된다. 일 실시예에서, 인서트 오목부(618)는 냉각 판(601)의 반경을 따라 정렬되는 슬롯을 포함할 수 있다. 다른 실시예에서, 인서트(617)는 인서트 오목부(618)에 의해 포획되는 부상식 인서트이다.
전술한 실시예들은 정전 척 조립체(124)에 상당히 얇은 퍽(150)이 사용될 수 있게 한다. 퍽(150)을 냉각 판(601)에 열적으로 연결하기 위한 열 전달 가스(603)의 사용으로 퍽(150) 내의 바람직하지 않은 열 응력과 급격한 온도 구배를 방지할 수 있다. 또한, 상부면(638)에 거의 평행한 방향으로 냉각 판(601)과 무관하게 퍽(150)이 팽창 및 수축할 수 있는 성능은 퍽(150) 내의 기계적 응력을 감소시키며, 퍽(150)이 냉각 판(601)에 의해 지지되기 때문에 퍽(150)은 최소 부하만을 받는다. 열적-기계적 응력의 감소와 최소 부하는 퍽(150)에 요구되는 재료 강도의 감소를 가능하게 함으로써, 퍽(150)은 비용 감소를 위해 더 얇게 제조될 수 있다.
도 6d는 본 발명의 다른 실시예에 따른 도 6b에 도시된 볼트 구멍의 상세도이다. 퍽 볼트 구멍(602)은 전기 절연 퍽 베이스(162) 내에 형성되는 볼트 관통 구멍(651), 제 1 카운터보어(649), 및 제 2 카운터보어(650)를 포함한다. 퍽 장착 볼트(616)는 제 1 카운터 보어(649) 내에 위치된 볼트 헤드(646)를 포함하며, 제 2 카운터 보어(650)는 플러그 나사(648)를 가지는 플러그(647)에 나사 결합된다. 플러그(647)는 퍽(150)의 정면측 표면(206)으로부터 퍽 장착 볼트(616)를 전기 절연시키기 위해 전기 절연 재료로 제조된다. 일 실시예에서, 플러그(647)는 퍽 베이스(162)와 동일한 재료로 제조된다. 플러그(647)는 퍽 장착 볼트(616)가 진입될 수 있도록 제거 및 재설치될 수 있게 적합하게 구성된다. 다른 실시예에서, 플러그(647) 및 제 2 카운터 보어(650)는 나사 결합되지 않으며 플러그(647)를 제거 및 재설치하기 위해 다른 수단이 사용된다. 퍽 볼트 구멍(602)을 위해 전기 절연 플러그(647)를 사용함으로써, 정전 척 조립체(124)에 전기 바이어스를 가할 때 바람직할 수 있다.
도 6e는 도 6a 및 도 6b에 도시된 정전 척 조립체의 일 실시예에 따른 분해도이다. 기판(S), 전기 도선, 도관, 볼트, 및 진공 피드쓰루(622)는 명료함을 위해 생략되었다. 볼트 조립체(110)는 벨로우즈 플랜지(614), 및 도관과 전기 도선(도 6b 참조)이 통과하는 지지대 샤프트 구멍(653)을 가지는 지지대 샤프트(112)를 포함한다. 벨로우즈 플랜지(614)는 제 1 볼트 구멍(634) 내에 위치되는 판 장착 볼트(615)를 수용하여 나사결합되는 복수의 장착 플랜지 구멍(652)을 포함한다. 단지 4 개의 장착 플랜지 구멍(652)이 도시되었지만, 벨로우즈 플랜지(614)는 제 1 볼트 구멍(634)의 수와 일치하는 임의의 수의 장착 플랜지 구멍(652)을 가질 수 있다.
냉각 판(601)은 주변 내측 스텝(610) 및 상면(638)을 갖춘 중앙 개구(639)를 가진다. 리프트 핀 구멍(635) 및 제 2 볼트 구멍(637)을 위한 추가의 내측 스텝(610)이 도시되어 있다. 환형 외측 스텝(609)은 냉각 판(601)의 주변부 주위로 연장한다. 판 표면(636)은 가스 유도 갭(632)의 한 측면을 형성하며(도 6b 참조), 퍽(150)은 퍽(150)이 냉각 판(601)에 장착될 때 가스 유도 갭의 외측면을 형성한다.
전술한 설명이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 추가의 실시예들이 본 발명의 기본 범주로부터 이탈함이 없이 창안될 수 있으며, 본 발명의 범주는 다음의 특허청구범위에 의해 결정된다.

Claims (15)

  1. 정전 척 조립체로서,
    지지대 베이스, 및
    상기 지지대 베이스에 연결되는 퍽을 포함하며,
    상기 퍽은,
    정면측 표면과,
    전기 절연 퍽 베이스와,
    상기 정면측 표면 상에 배열되는 하나 또는 그보다 많은 척킹 전극, 및
    상기 정면측 표면 상에 배열되며 하나 또는 그보다 많은 상기 척킹 전극을 덮고 있는 유전체 층을 포함하며,
    상기 하나 또는 그보다 많은 척킹 전극은 전기 전도체 금속 매트릭스 복합 재료를 포함하는,
    정전 척 조립체.
  2. 제 1 항에 있어서,
    상기 금속 매트릭스 복합 재료는 알루미늄, 티타늄, 마그네슘, 코발트, 니켈, 실리콘, 탄소, 및 실리콘 탄화물(SiC) 중에 적어도 두 개를 포함하는,
    정전 척 조립체.
  3. 제 1 항에 있어서,
    상기 금속 매트릭스 복합 재료는 알루미늄과 실리콘을 포함하는,
    정전 척 조립체.
  4. 제 1 항에 있어서,
    상기 퍽 베이스는 재료를 포함하며 상기 금속 매트릭스 복합 재료는 상기 퍽 베이스 재료의 열팽창 계수(CTE)와 실질적으로 일치하는 CTE를 가지는,
    정전 척 조립체.
  5. 제 1 항에 있어서,
    상기 유전체 층 재료는 상기 금속 매트릭스 복합 재료의 CTE와 실질적으로 일치하는 CTE를 가지는,
    정전 척 조립체.
  6. 제 1 항에 있어서,
    상기 유전체 층은 알루미늄 산화물, 붕소 질화물, 다이아몬드형 탄소(DLC), 및 다이아몬드형 탄소 복합 재료 중에 적어도 하나를 포함하는,
    정전 척 조립체.
  7. 정전 척 조립체로서;
    지지대 하우징과;
    하나 또는 그보다 많은 척킹 전극 및 하나 또는 그보다 많은 가열 요소를 갖춘 전기 절연 상부 퍽 판과, 상승부를 포함하는 접합 영역 내의 상기 상부 퍽 판에 접합되는 하부 퍽 판, 및 상기 접합 영역 외측의 상기 하부 퍽 판으로부터 상기 상부 퍽 판을 분리시키는 갭을 포함하는 퍽과;
    상기 하부 퍽 판과 지지대 하우징 사이에 배열되는 하나 또는 그보다 많은 O형 링; 및
    하나 또는 그보다 많은 냉각 채널을 포함하며 상기 접합 영역과 하나 또는 그보다 많은 O형 링 사이에 배열되는 냉각 판을 포함하며;
    상기 냉각 판은 상기 하부 퍽 판에 연결되어 열적으로 소통하는,
    정전 척 조립체.
  8. 제 7 항에 있어서,
    하나 또는 그보다 많은 상기 O형 링은 상기 하부 퍽 판의 주변부 근처에 위치되며, 상기 냉각 판은 하나 또는 그보다 많은 상기 O형 링 근처에 위치되는,
    정전 척 조립체.
  9. 제 7 항에 있어서,
    상기 갭 두께는 약 20 미크론 내지 약 1000 미크론 범위인,
    정전 척 조립체.
  10. 제 7 항에 있어서,
    상기 상부 퍽 판 및 하부 퍽 판은 열 사이클 중에 상기 접합 영역의 외측과 무관하게 자유롭게 팽창 및 수축하는,
    정전 척 조립체.
  11. 정전 척 조립체로서;
    정면측 표면과, 하나 또는 그보다 많은 척킹 전극, 및 복수의 퍽 볼트 구멍을 포함하는 퍽과;
    중앙 개구 체적을 각각 갖는 하나 또는 그보다 많은 중앙 개구와, 상기 퍽을 지지하는 지지대 표면을 각각 갖는 하나 또는 그보다 많은 외측 스텝 및 내측 스텝과, 그리고 냉각 판 내에 형성되며, 상기 중앙 개구 체적의 측벽 내에 형성되는 제 1 구멍과 상기 냉각 판의 표면 상에 배열되는 제 2 구멍 사이에 유체 소통을 제공하는 채널을 각각 갖는 하나 또는 그보다 많은 통풍 구멍을 포함하는 냉각 판과; 그리고
    상기 냉각 판으로부터 분리가능한 상기 퍽과 상기 냉각 판 사이에 배열되는 갭을 포함하는;
    정전 척 조립체.
  12. 제 11 항에 있어서,
    상기 제 2 구멍은 상기 냉각 판의 바닥면 상에 배열되는,
    정전 척 조립체.
  13. 제 11 항에 있어서,
    상기 갭은 약 30 미크론 내지 약 1000 미크론 범위의 높이를 가지는,
    정전 척 조립체.
  14. 제 11 항에 있어서,
    상기 퍽은 열 사이클 중에 상기 외측 스텝 및 내측 스텝의 지지대 표면들에 거의 평행한 방향으로 상기 냉각 판과 무관하게 팽창 및 수축할 수 있는,
    정전 척 조립체.
  15. 제 11 항에 있어서,
    복수의 장착 볼트를 더 포함하며,
    각각의 상기 장착 볼트는 퍽 볼트 구멍 내에 배열되며, 상기 복수의 퍽 볼트 구멍은 적어도 하나의 카운터 보어, 및 상기 퍽의 정면측 표면으로부터 상기 퍽 장착 볼트를 전기적으로 절연시키도록 상기 구멍 내에 배열되는 전기 절연 플러그를 각각 포함하는,
    정전 척 조립체.
KR1020117005795A 2008-08-12 2009-08-06 정전 척 조립체 KR101582785B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8828908P 2008-08-12 2008-08-12
US61/088,289 2008-08-12

Publications (2)

Publication Number Publication Date
KR20110049867A true KR20110049867A (ko) 2011-05-12
KR101582785B1 KR101582785B1 (ko) 2016-01-07

Family

ID=41669579

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117005795A KR101582785B1 (ko) 2008-08-12 2009-08-06 정전 척 조립체

Country Status (7)

Country Link
US (1) US8390980B2 (ko)
EP (1) EP2321846A4 (ko)
JP (2) JP2011530833A (ko)
KR (1) KR101582785B1 (ko)
CN (1) CN102160167B (ko)
TW (1) TWI473199B (ko)
WO (1) WO2010019430A2 (ko)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9548232B2 (en) 2012-06-20 2017-01-17 Tokyo Ohka Kogyo Co., Ltd. Attaching apparatus
KR20170073584A (ko) * 2014-10-17 2017-06-28 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 정전 척 어셈블리
KR20170110712A (ko) * 2015-02-06 2017-10-11 어플라이드 머티어리얼스, 인코포레이티드 정전 척 표면을 위한 반경 방향 외향 패드 설계
KR20180113221A (ko) * 2016-03-04 2018-10-15 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 기판 지지 어셈블리
WO2019093657A1 (ko) * 2017-11-09 2019-05-16 주식회사 미코 마운트, 상기 마운트를 포함하는 히터 및 상기 히터를 포함하는 증착 장치
KR102253957B1 (ko) * 2020-01-31 2021-05-20 정홍흔 반도체 플라즈마 물리기상증착 장치 및 볼록형 서스 히터
KR20210134090A (ko) * 2015-05-19 2021-11-08 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 금속 본딩된 백킹 플레이트를 갖는 정전 퍽 조립체

Families Citing this family (246)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8226769B2 (en) * 2006-04-27 2012-07-24 Applied Materials, Inc. Substrate support with electrostatic chuck having dual temperature zones
KR100867191B1 (ko) * 2006-11-02 2008-11-06 주식회사 유진테크 기판처리장치 및 기판처리방법
US20100247804A1 (en) * 2009-03-24 2010-09-30 Applied Materials, Inc. Biasable cooling pedestal
DE102009018434B4 (de) * 2009-04-22 2023-11-30 Ev Group Gmbh Aufnahmeeinrichtung zur Aufnahme von Halbleitersubstraten
US10896842B2 (en) * 2009-10-20 2021-01-19 Tokyo Electron Limited Manufacturing method of sample table
US8501631B2 (en) * 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
TW201209957A (en) 2010-05-28 2012-03-01 Praxair Technology Inc Substrate supports for semiconductor applications
CN103081088B (zh) * 2010-08-06 2016-04-06 应用材料公司 静电夹盘和使用静电夹盘的方法
US20120037068A1 (en) * 2010-08-11 2012-02-16 Applied Materials, Inc. Composite substrates for direct heating and increased temperature uniformity
US8580693B2 (en) * 2010-08-27 2013-11-12 Applied Materials, Inc. Temperature enhanced electrostatic chucking in plasma processing apparatus
US9969022B2 (en) * 2010-09-28 2018-05-15 Applied Materials, Inc. Vacuum process chamber component and methods of making
JP5129848B2 (ja) * 2010-10-18 2013-01-30 東京エレクトロン株式会社 接合装置及び接合方法
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120196242A1 (en) * 2011-01-27 2012-08-02 Applied Materials, Inc. Substrate support with heater and rapid temperature change
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
JP5869899B2 (ja) * 2011-04-01 2016-02-24 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、基板処理方法及びサセプタカバー
US20120281333A1 (en) * 2011-05-06 2012-11-08 Advanced Ion Beam Technology, Inc. Temperature-controllable electrostatic chuck
US9337067B2 (en) * 2011-05-13 2016-05-10 Novellus Systems, Inc. High temperature electrostatic chuck with radial thermal chokes
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
KR102103136B1 (ko) 2011-09-30 2020-04-22 어플라이드 머티어리얼스, 인코포레이티드 온도 제어되는 정전 척
TWI762170B (zh) * 2011-10-05 2022-04-21 美商應用材料股份有限公司 包括對稱電漿處理腔室的電漿處理設備與用於此設備的蓋組件
US9076831B2 (en) * 2011-11-04 2015-07-07 Lam Research Corporation Substrate clamping system and method for operating the same
JP5973731B2 (ja) * 2012-01-13 2016-08-23 東京エレクトロン株式会社 プラズマ処理装置及びヒータの温度制御方法
JP5957540B2 (ja) * 2012-02-03 2016-07-27 エーエスエムエル ネザーランズ ビー.ブイ. 基板ホルダ製造方法
US9034199B2 (en) 2012-02-21 2015-05-19 Applied Materials, Inc. Ceramic article with reduced surface defect density and process for producing a ceramic article
JP5905735B2 (ja) * 2012-02-21 2016-04-20 東京エレクトロン株式会社 基板処理装置、基板処理方法及び基板温度の設定可能帯域の変更方法
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9212099B2 (en) 2012-02-22 2015-12-15 Applied Materials, Inc. Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
JP5903930B2 (ja) * 2012-02-27 2016-04-13 日新イオン機器株式会社 基板搬送装置及び当該基板搬送装置を用いた半導体製造装置
TWI455244B (zh) * 2012-03-19 2014-10-01 Wistron Corp 用於重工製程之夾持治具及設備
US9070536B2 (en) * 2012-04-24 2015-06-30 Applied Materials, Inc. Plasma reactor electrostatic chuck with cooled process ring and heated workpiece support surface
CN102632408B (zh) * 2012-05-05 2014-05-28 山东大学 一种加速钻削过程中工件传热的热管夹具及方法
US9105492B2 (en) 2012-05-08 2015-08-11 LuxVue Technology Corporation Compliant micro device transfer head
US8415771B1 (en) * 2012-05-25 2013-04-09 LuxVue Technology Corporation Micro device transfer head with silicon electrode
US9034754B2 (en) 2012-05-25 2015-05-19 LuxVue Technology Corporation Method of forming a micro device transfer head with silicon electrode
US9404176B2 (en) 2012-06-05 2016-08-02 Applied Materials, Inc. Substrate support with radio frequency (RF) return path
US9224626B2 (en) 2012-07-03 2015-12-29 Watlow Electric Manufacturing Company Composite substrate for layered heaters
US8415768B1 (en) 2012-07-06 2013-04-09 LuxVue Technology Corporation Compliant monopolar micro device transfer head with silicon electrode
US8415767B1 (en) 2012-07-06 2013-04-09 LuxVue Technology Corporation Compliant bipolar micro device transfer head with silicon electrodes
US8569115B1 (en) 2012-07-06 2013-10-29 LuxVue Technology Corporation Method of forming a compliant bipolar micro device transfer head with silicon electrodes
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US8791530B2 (en) 2012-09-06 2014-07-29 LuxVue Technology Corporation Compliant micro device transfer head with integrated electrode leads
JP5441021B1 (ja) * 2012-09-12 2014-03-12 Toto株式会社 静電チャック
KR102172164B1 (ko) 2012-09-19 2020-10-30 어플라이드 머티어리얼스, 인코포레이티드 기판들을 접합하기 위한 방법들
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9916998B2 (en) * 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9255001B2 (en) 2012-12-10 2016-02-09 LuxVue Technology Corporation Micro device transfer head array with metal electrodes
US9236815B2 (en) * 2012-12-10 2016-01-12 LuxVue Technology Corporation Compliant micro device transfer head array with metal electrodes
US10324121B2 (en) * 2012-12-28 2019-06-18 Axcelis Technologies, Inc. Charge integration based electrostatic clamp health monitor
CN103904014B (zh) * 2012-12-31 2016-12-28 北京北方微电子基地设备工艺研究中心有限责任公司 静电卡盘和反应腔室
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
JP6022372B2 (ja) * 2013-02-04 2016-11-09 株式会社アルバック 薄型基板処理装置
JP6022373B2 (ja) * 2013-02-04 2016-11-09 株式会社アルバック 薄型基板処理装置
TWI582256B (zh) 2013-02-04 2017-05-11 愛發科股份有限公司 薄型基板處理裝置
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9669653B2 (en) * 2013-03-14 2017-06-06 Applied Materials, Inc. Electrostatic chuck refurbishment
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP6075185B2 (ja) * 2013-04-26 2017-02-08 住友電気工業株式会社 炭化珪素半導体装置の製造方法
KR102049635B1 (ko) 2013-06-12 2019-11-28 로히니, 엘엘씨. 피착된 광-생성 소스에 의한 키보드 백라이팅
US9850568B2 (en) 2013-06-20 2017-12-26 Applied Materials, Inc. Plasma erosion resistant rare-earth oxide based thin film coatings
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9245767B2 (en) * 2013-09-12 2016-01-26 Applied Materials, Inc. Anneal module for semiconductor wafers
JP6235293B2 (ja) * 2013-10-02 2017-11-22 株式会社日立ハイテクノロジーズ プラズマ処理装置
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US10236202B2 (en) * 2013-11-11 2019-03-19 Diablo Capital, Inc. System and method for adhering a semiconductive wafer to a mobile electrostatic carrier through a vacuum
TW201518538A (zh) * 2013-11-11 2015-05-16 Applied Materials Inc 像素化冷卻溫度控制的基板支撐組件
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
CN105706351B (zh) * 2013-11-22 2019-07-19 应用材料公司 用于静电卡盘表面的垫设计
CN104681380B (zh) * 2013-11-29 2017-07-07 中微半导体设备(上海)有限公司 一种静电卡盘及其等离子体处理室
US10391526B2 (en) * 2013-12-12 2019-08-27 Lam Research Corporation Electrostatic chuck cleaning fixture
US9101038B2 (en) 2013-12-20 2015-08-04 Lam Research Corporation Electrostatic chuck including declamping electrode and method of declamping
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
JP6303592B2 (ja) * 2014-02-25 2018-04-04 東京エレクトロン株式会社 基板処理装置
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9355776B2 (en) 2014-04-09 2016-05-31 Applied Materials, Inc. Capacitor assemblies for coupling radio frequency (RF) and direct current (DC) energy to one or more common electrodes
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9530682B2 (en) * 2014-05-12 2016-12-27 Varian Semiconductor Equipment Associates, Inc. System and apparatus for holding a substrate over wide temperature range
JP6219227B2 (ja) * 2014-05-12 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構及びステージの温度制御方法
JP6219229B2 (ja) * 2014-05-19 2017-10-25 東京エレクトロン株式会社 ヒータ給電機構
DE102014008029B4 (de) 2014-05-28 2023-05-17 Asml Netherlands B.V. Elektrostatische Haltevorrichtung mit einer Elektroden-Trägerscheibe und Verfahren zur Herstellung der Haltevorrichtung
DE102014008031B4 (de) * 2014-05-28 2020-06-25 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit einer Keramik-Elektrode und Verfahren zur Herstellung einer solchen Haltevorrichtung
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
DE102014008030A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co Verfahren zur Herstellung einer elektrostatischen Haltevorrichtung
DE102014007903A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit Noppen-Elektroden und Verfahren zu deren Herstellung
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US10431435B2 (en) 2014-08-01 2019-10-01 Applied Materials, Inc. Wafer carrier with independent isolated heater zones
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US10325800B2 (en) * 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US10002782B2 (en) 2014-10-17 2018-06-19 Lam Research Corporation ESC assembly including an electrically conductive gasket for uniform RF power delivery therethrough
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
WO2016094404A1 (en) * 2014-12-11 2016-06-16 Applied Materials, Inc. Electrostatic chuck for high temperature rf applications
US10781518B2 (en) * 2014-12-11 2020-09-22 Applied Materials, Inc. Gas cooled electrostatic chuck (ESC) having a gas channel formed therein and coupled to a gas box on both ends of the gas channel
JP6408903B2 (ja) * 2014-12-25 2018-10-17 東京エレクトロン株式会社 エッチング処理方法及びエッチング処理装置
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
CN105957820B (zh) * 2015-03-09 2019-12-20 纽富来科技股份有限公司 加热器以及使用了其的半导体装置的制造装置
US10186444B2 (en) * 2015-03-20 2019-01-22 Applied Materials, Inc. Gas flow for condensation reduction with a substrate processing chuck
US9691645B2 (en) * 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
TWI808334B (zh) * 2015-08-06 2023-07-11 美商應用材料股份有限公司 工件握持器
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10950477B2 (en) 2015-08-07 2021-03-16 Applied Materials, Inc. Ceramic heater and esc with enhanced wafer edge performance
KR102540912B1 (ko) * 2015-08-27 2023-06-08 스미토모 오사카 세멘토 가부시키가이샤 정전 척 장치
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9805963B2 (en) 2015-10-05 2017-10-31 Lam Research Corporation Electrostatic chuck with thermal choke
WO2017066418A1 (en) * 2015-10-15 2017-04-20 Applied Materials, Inc. Substrate carrier system
US11024528B2 (en) * 2015-10-21 2021-06-01 Sumitomo Osaka Cement Co., Ltd. Electrostatic chuck device having focus ring
JP2017092156A (ja) 2015-11-03 2017-05-25 ナショナル チュン−シャン インスティテュート オブ サイエンス アンド テクノロジー 高密度のプラズマ及び高温の半導体製造プロセスに用いられる窒化アルミニウムの静電チャンク
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
CN108369921B (zh) * 2015-12-07 2023-12-12 应用材料公司 使用静电夹盘夹持及解夹持基板的方法及装置
KR20180093966A (ko) * 2015-12-10 2018-08-22 아이오니어 엘엘씨 프로세스 동작의 파라미터들을 결정하기 위한 장치 및 방법
US10499461B2 (en) * 2015-12-21 2019-12-03 Intel Corporation Thermal head with a thermal barrier for integrated circuit die processing
JP6959697B2 (ja) 2016-01-15 2021-11-05 ロヒンニ リミテッド ライアビリティ カンパニー 装置上のカバーを介してバックライトで照らす装置及び方法
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
KR102377658B1 (ko) * 2016-03-23 2022-03-24 엔지케이 인슐레이터 엘티디 코디어라이트질 소결체, 그 제법 및 복합 기판
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US11069553B2 (en) * 2016-07-07 2021-07-20 Lam Research Corporation Electrostatic chuck with features for preventing electrical arcing and light-up and improving process uniformity
WO2018013271A1 (en) * 2016-07-13 2018-01-18 Applied Materials, Inc. An improved substrate support
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10784139B2 (en) * 2016-12-16 2020-09-22 Applied Materials, Inc. Rotatable electrostatic chuck having backside gas supply
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10910195B2 (en) 2017-01-05 2021-02-02 Lam Research Corporation Substrate support with improved process uniformity
JP6829087B2 (ja) * 2017-01-27 2021-02-10 京セラ株式会社 試料保持具
CN108701630A (zh) * 2017-01-31 2018-10-23 应用材料公司 基板载体和处理基板的方法
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11011355B2 (en) * 2017-05-12 2021-05-18 Lam Research Corporation Temperature-tuned substrate support for substrate processing systems
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US11127620B2 (en) * 2017-06-19 2021-09-21 Applied Materials, Inc. Electrostatic chuck for high temperature processing chamber
KR20200011575A (ko) * 2017-06-22 2020-02-03 어플라이드 머티어리얼스, 인코포레이티드 다이 접합 응용들을 위한 정전 캐리어
JP2020526012A (ja) * 2017-06-23 2020-08-27 ワットロー・エレクトリック・マニュファクチャリング・カンパニー 高温ヒートプレートペデスタル
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11955362B2 (en) * 2017-09-13 2024-04-09 Applied Materials, Inc. Substrate support for reduced damage substrate backside
JP2019057531A (ja) * 2017-09-19 2019-04-11 モメンティブ・パフォーマンス・マテリアルズ・ジャパン合同会社 ウエハ支持装置
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
CN107808848A (zh) * 2017-11-28 2018-03-16 北京北方华创微电子装备有限公司 静电卡盘以及半导体设备
US11410857B2 (en) * 2017-11-30 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer holding pins and methods of using the same
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US11149345B2 (en) * 2017-12-11 2021-10-19 Applied Materials, Inc. Cryogenically cooled rotatable electrostatic chuck
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
US20190244787A1 (en) * 2018-02-02 2019-08-08 Wei-Chuan Chou Plasma etching reaction chamber
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11086233B2 (en) * 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
JP7090465B2 (ja) * 2018-05-10 2022-06-24 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP2019201086A (ja) * 2018-05-15 2019-11-21 東京エレクトロン株式会社 処理装置、部材及び温度制御方法
WO2019245727A1 (en) * 2018-06-22 2019-12-26 Applied Materials, Inc. Methods of minimizing wafer backside damage in semiconductor wafer processing
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
WO2020020462A1 (en) * 2018-07-26 2020-01-30 Applied Materials, Inc. Holding device for holding a carrier or a component in a vacuum chamber, use of a holding device for holding a carrier or a component in a vacuum chamber, apparatus for handling a carrier in a vacuum chamber, and vacuum deposition system
KR20200023988A (ko) * 2018-08-27 2020-03-06 삼성전자주식회사 정전 척 및 상기 정전 척을 탑재한 웨이퍼 식각 장치
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11031273B2 (en) 2018-12-07 2021-06-08 Applied Materials, Inc. Physical vapor deposition (PVD) electrostatic chuck with improved thermal coupling for temperature sensitive processes
KR102573194B1 (ko) * 2018-12-11 2023-08-30 어플라이드 머티어리얼스, 인코포레이티드 극저온 정전 척
US11380571B2 (en) * 2018-12-13 2022-07-05 Xia Tai Xin Semiconductor (Qing Dao) Ltd. Chuck assembly and method of securing electrostatic chuck
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
JP7269759B2 (ja) * 2019-03-12 2023-05-09 新光電気工業株式会社 基板固定装置
US11874607B2 (en) * 2019-04-30 2024-01-16 Asml Netherlands B.V. Method for providing a wear-resistant material on a body, and composite body
KR20220024045A (ko) 2019-05-16 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 기판 후면 손상을 최소화하기 위한 방법들 및 장치
US11887878B2 (en) * 2019-06-28 2024-01-30 Applied Materials, Inc. Detachable biasable electrostatic chuck for high temperature applications
US20220262657A1 (en) * 2019-08-02 2022-08-18 Applied Materials, Inc. Pedestal with multi-zone heating
US20210175103A1 (en) * 2019-12-06 2021-06-10 Applied Materials, Inc. In situ failure detection in semiconductor processing chambers
CN111128845B (zh) * 2019-12-16 2022-10-21 北京北方华创微电子装备有限公司 应用于薄膜沉积装置的托盘
JP2021118249A (ja) * 2020-01-24 2021-08-10 東京エレクトロン株式会社 プラズマ処理装置
JP2023527691A (ja) 2020-05-11 2023-06-30 インテグリス・インコーポレーテッド ガス流特徴部を有する静電チャック、及び関連する方法
CN111607785A (zh) * 2020-05-26 2020-09-01 北京北方华创微电子装备有限公司 一种加热装置及半导体加工设备
US20210381101A1 (en) * 2020-06-03 2021-12-09 Applied Materials, Inc. Substrate processing system
JP2021197406A (ja) * 2020-06-10 2021-12-27 東京エレクトロン株式会社 載置台、基板処理装置及び基板処理方法
US11602064B2 (en) 2020-09-01 2023-03-07 Applied Materials, Inc. Dynamic electrical and fluid delivery system with indexing motion for batch processing chambers
CN114695048A (zh) * 2020-12-30 2022-07-01 中微半导体设备(上海)股份有限公司 下电极组件和包含下电极组件的等离子体处理装置
WO2022159468A1 (en) * 2021-01-20 2022-07-28 Applied Materials, Inc. Anti-slippery stamp landing ring
US11569114B2 (en) * 2021-02-12 2023-01-31 Applied Materials, Inc. Semiconductor processing with cooled electrostatic chuck
CN112582330A (zh) * 2021-02-22 2021-03-30 北京中硅泰克精密技术有限公司 半导体工艺设备及其静电卡盘组件
US11699611B2 (en) 2021-02-23 2023-07-11 Applied Materials, Inc. Forming mesas on an electrostatic chuck
JP2024519750A (ja) * 2021-05-10 2024-05-21 アプライド マテリアルズ インコーポレイテッド 金属マトリックス複合材料を用いた高温サセプタ
US12014906B2 (en) * 2021-11-19 2024-06-18 Applied Materials, Inc. High temperature detachable very high frequency (VHF) electrostatic chuck (ESC) for PVD chamber
US20230162955A1 (en) * 2021-11-24 2023-05-25 Applied Materials, Inc. Electrostatic chuck with detachable shaft

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001102436A (ja) * 1999-05-07 2001-04-13 Applied Materials Inc 静電チャック及びその製造方法
JP2001223261A (ja) * 2000-02-07 2001-08-17 Hitachi Ltd 静電チャック及び静電吸着装置
JP2002246455A (ja) * 2000-12-11 2002-08-30 Advance Ceramics Internatl Corp 静電チャックの製造方法
JP2006210390A (ja) * 2005-01-25 2006-08-10 Taiheiyo Cement Corp 静電チャックおよび露光装置

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US6108189A (en) * 1996-04-26 2000-08-22 Applied Materials, Inc. Electrostatic chuck having improved gas conduits
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5903428A (en) 1997-09-25 1999-05-11 Applied Materials, Inc. Hybrid Johnsen-Rahbek electrostatic chuck having highly resistive mesas separating the chuck from a wafer supported thereupon and method of fabricating same
US5880924A (en) 1997-12-01 1999-03-09 Applied Materials, Inc. Electrostatic chuck capable of rapidly dechucking a substrate
US6081414A (en) * 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6067222A (en) 1998-11-25 2000-05-23 Applied Materials, Inc. Substrate support apparatus and method for fabricating same
JP3805134B2 (ja) * 1999-05-25 2006-08-02 東陶機器株式会社 絶縁性基板吸着用静電チャック
KR20010111058A (ko) * 2000-06-09 2001-12-15 조셉 제이. 스위니 전체 영역 온도 제어 정전기 척 및 그 제조방법
US7479456B2 (en) * 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US6483690B1 (en) 2001-06-28 2002-11-19 Lam Research Corporation Ceramic electrostatic chuck assembly and method of making
US6538872B1 (en) 2001-11-05 2003-03-25 Applied Materials, Inc. Electrostatic chuck having heater and method
JP3881908B2 (ja) * 2002-02-26 2007-02-14 株式会社日立ハイテクノロジーズ プラズマ処理装置
KR20050005035A (ko) 2003-07-01 2005-01-13 삼성전자주식회사 화학기상증착 공정용 반도체소자 제조설비
KR100505035B1 (ko) 2003-11-17 2005-07-29 삼성전자주식회사 기판을 지지하기 위한 정전척
JP4349952B2 (ja) * 2004-03-24 2009-10-21 京セラ株式会社 ウェハ支持部材とその製造方法
JP4476701B2 (ja) * 2004-06-02 2010-06-09 日本碍子株式会社 電極内蔵焼結体の製造方法
TW200726344A (en) * 2005-12-30 2007-07-01 Epistar Corp Hybrid composite material substrate
JP4052343B2 (ja) * 2006-02-08 2008-02-27 Toto株式会社 静電チャック
JP4615464B2 (ja) * 2006-03-16 2011-01-19 東京エレクトロン株式会社 プラズマ処理装置用電極アッセンブリ及びプラズマ処理装置
US20070224451A1 (en) * 2006-03-24 2007-09-27 General Electric Company Composition, coating, coated article, and method
JP2008042140A (ja) * 2006-08-10 2008-02-21 Tokyo Electron Ltd 静電チャック装置
US7672111B2 (en) * 2006-09-22 2010-03-02 Toto Ltd. Electrostatic chuck and method for manufacturing same
US8573836B2 (en) * 2006-10-26 2013-11-05 Tokyo Electron Limited Apparatus and method for evaluating a substrate mounting device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001102436A (ja) * 1999-05-07 2001-04-13 Applied Materials Inc 静電チャック及びその製造方法
JP2001223261A (ja) * 2000-02-07 2001-08-17 Hitachi Ltd 静電チャック及び静電吸着装置
JP2002246455A (ja) * 2000-12-11 2002-08-30 Advance Ceramics Internatl Corp 静電チャックの製造方法
JP2006210390A (ja) * 2005-01-25 2006-08-10 Taiheiyo Cement Corp 静電チャックおよび露光装置

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9548232B2 (en) 2012-06-20 2017-01-17 Tokyo Ohka Kogyo Co., Ltd. Attaching apparatus
KR20170073584A (ko) * 2014-10-17 2017-06-28 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 정전 척 어셈블리
KR20220025936A (ko) * 2014-10-17 2022-03-03 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 정전 척 어셈블리
KR20170110712A (ko) * 2015-02-06 2017-10-11 어플라이드 머티어리얼스, 인코포레이티드 정전 척 표면을 위한 반경 방향 외향 패드 설계
KR20210134090A (ko) * 2015-05-19 2021-11-08 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 금속 본딩된 백킹 플레이트를 갖는 정전 퍽 조립체
KR20230061561A (ko) * 2015-05-19 2023-05-08 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 금속 본딩된 백킹 플레이트를 갖는 정전 퍽 조립체
KR20180113221A (ko) * 2016-03-04 2018-10-15 어플라이드 머티어리얼스, 인코포레이티드 고온 프로세스들을 위한 기판 지지 어셈블리
WO2019093657A1 (ko) * 2017-11-09 2019-05-16 주식회사 미코 마운트, 상기 마운트를 포함하는 히터 및 상기 히터를 포함하는 증착 장치
KR102253957B1 (ko) * 2020-01-31 2021-05-20 정홍흔 반도체 플라즈마 물리기상증착 장치 및 볼록형 서스 히터

Also Published As

Publication number Publication date
CN102160167A (zh) 2011-08-17
WO2010019430A2 (en) 2010-02-18
TWI473199B (zh) 2015-02-11
KR101582785B1 (ko) 2016-01-07
JP2014060421A (ja) 2014-04-03
CN102160167B (zh) 2013-12-04
TW201027661A (en) 2010-07-16
US8390980B2 (en) 2013-03-05
EP2321846A4 (en) 2012-03-14
US20100039747A1 (en) 2010-02-18
JP5538612B2 (ja) 2014-07-02
WO2010019430A3 (en) 2010-05-14
JP2011530833A (ja) 2011-12-22
EP2321846A2 (en) 2011-05-18

Similar Documents

Publication Publication Date Title
KR101582785B1 (ko) 정전 척 조립체
KR101892911B1 (ko) 정전 척 및 정전 척의 사용 방법들
US6853533B2 (en) Full area temperature controlled electrostatic chuck and method of fabricating same
TWI660453B (zh) 用於高溫處理之靜電吸座組件
US20230019718A1 (en) Substrate support pedestal
JP3129419U (ja) 基板の温度を制御する装置
US8007591B2 (en) Substrate holder having a fluid gap and method of fabricating the substrate holder
US5730803A (en) Apparatus and method for transferring heat from a hot electrostatic chuck to an underlying cold body
US6490145B1 (en) Substrate support pedestal
TWI720110B (zh) 用於高功率電漿蝕刻處理的氣體分配板組件
US20150138687A1 (en) Control systems employing deflection sensors to control clamping forces applied by electrostatic chucks, and related methods
KR102516133B1 (ko) 후면 가스 공급부를 갖는 회전가능 정전 척
KR20190095075A (ko) 플라스마 처리 장치
US6535372B2 (en) Controlled resistivity boron nitride electrostatic chuck apparatus for retaining a semiconductor wafer and method of fabricating the same
US20200035535A1 (en) Metal bonded electrostatic chuck for high power application
KR20160078220A (ko) 지지 유닛 및 이를 포함하는 기판 처리 장치
US20240055289A1 (en) Vacuum seal for electrostatic chuck
CN115410978B (zh) 静电卡盘和半导体工艺设备
US20230343565A1 (en) Wafer placement table
US20230377852A1 (en) Substrate processing apparatus
TW202421832A (zh) 用於靜電卡緊真空密封
KR20070000225U (ko) 기판의 온도를 제어하기 위한 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right