KR20090007367A - 다단계 로드록 챔버, 이송 챔버, 및 이들과의 상호교류에 적합한 로봇 - Google Patents

다단계 로드록 챔버, 이송 챔버, 및 이들과의 상호교류에 적합한 로봇 Download PDF

Info

Publication number
KR20090007367A
KR20090007367A KR1020087026104A KR20087026104A KR20090007367A KR 20090007367 A KR20090007367 A KR 20090007367A KR 1020087026104 A KR1020087026104 A KR 1020087026104A KR 20087026104 A KR20087026104 A KR 20087026104A KR 20090007367 A KR20090007367 A KR 20090007367A
Authority
KR
South Korea
Prior art keywords
chamber
substrate
chambers
loadlock
transfer
Prior art date
Application number
KR1020087026104A
Other languages
English (en)
Inventor
로버트 비. 무어
에릭 러랜드
사티쉬 순다르
마리오 데이비드 실베티
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20090007367A publication Critical patent/KR20090007367A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

기판 처리 장치가 설명된다. 주변 차단된 4 개의 챔버를 갖는 다단계 로드록 챔버는 로봇 조립체를 가지는 이송 챔버와 상호교류한다. 로봇 조립체는 로봇 조립체가 축선을 중심으로 회전할 때 각각 수평으로 회전할 수 있는 두 개의 아암을 가진다. 아암은 바닥의 차단 챔버로부터 기판을 수용하고 기판을 처리 챔버로 이송하며, 기판을 상부 챔버 내에 놓도록 로드록의 차단 챔버의 내측에 도달할 수 있다. 로드록 챔버 내의 차단 챔버는 차단 챔버의 내측으로 접근할 수 있도록 개방될 수 있는 피봇가능하게 부착된 리드를 가질 수 있다.

Description

다단계 로드록 챔버, 이송 챔버, 및 이들과의 상호교류에 적합한 로봇 {MULTI-LEVEL LOAD LOCK CHAMBER, TRANSFER CHAMBER, AND ROBOT SUITABLE FOR INTERFACING WITH SAME}
본 발명의 실시예들은 일반적으로 주위 환경의 제어 분위기 하에서 연속적으로 기판 상에 다중 공정을 수행하는 장치에 관한 것이다.
반도체 공정에 있어서 기판 처리량은 항상 도전을 받고 있다. 기술이 발전되면, 반도체 기판은 계속적으로 처리 효율성을 필요로 한다. 클러스터 툴은 진공의 해제없이 연속적으로 다중 기판을 처리하기 위한 효율적인 수단으로서 발전되어 왔다. 단일 기판을 처리하고나서 기판을 다른 챔버로의 이송 중에 대기에 노출시키는 대신에, 다중 공정 챔버가 공통의 이송 챔버에 연결될 수 있어서, 하나의 공정 챔버 내에서 하나의 공정이 기판에 완료될 때, 기판은 여전히 진공 상태를 유지한채로 동일한 이송 챔버에 연결되는 다른 공정 챔버로 이동될 수 있다.
클러스터 툴의 다른 이점은 다중 기판이 동시에 다른 챔버의 툴 내부에서 처리될 수 있다는 점이다. 하나의 기판이 공정 챔버를 빠져나가 다른 공정 챔버의 내측으로 이송된 후에, 제 2 기판이 제 1 공정 챔버의 내측에 놓일 수 있다. 따라서, 순차적인 공정들이 클러스터 툴 내에서 동시에 다른 기판 상에 수행될 수 있 다.
이송 챔버로 진입하기 위해서, 기판은 먼저 로드록 챔버를 통과한다. 로드록 챔버는 처리 공정 이전에 기판을 가열할 수 있다. 클러스터 툴 내에서 수행될 모든 기판 처리 공정이 기판 상에서 완료된 후에, 기판은 클러스터 툴 시스템을 빠져 나올 수 있는 로드록으로 다시 이송된다.
그러므로, 클러스터 툴은 기판 처리량을 증가시키는데 매우 유용할 수 있다. 물론, 기판 처리량을 훨씬 더 증가시키는 것이 항상 바람직한 것만은 아니다. 이와 같이, 기판 상에 다중 공정을 연속적으로 수행하는 장치에서 기판 처리량을 증가시키는 것이 본 기술 분야에서 요구되고 있다.
본 발명은 일반적으로 다단계 로드록 챔버, 이송 챔버, 및 이들과의 상호교류에 적합한 로봇을 포함한다. 다단계 로드록 챔버는 4 개의 주위 환경과 차단된 챔버들을 가지며 로봇 조립체를 수용하는 이송 챔버와 상호교류될 수 있다. 로봇 조립체는 로봇 조립체가 축선을 중심으로 회전할 때 수평과 수직 양 방향으로 독립적으로 이동될 수 있는 두 개의 아암을 가진다. 로봇은 각각의 아암에 의해 로드록의 상부 챔버와 하부 챔버로 접근할 수 있도록 구성된다.
일 실시예에서, 로드록 챔버가 설명된다. 로드록 챔버는 내부에 형성되며 주위 환경과 차단된 제 1, 제 2, 제 3 및 제 4 챔버를 갖는 단일 챔버를 포함한다. 제 1 및 제 2 챔버들은 측면으로 이격된 관계로 구성된다. 제 3 및 제 4 챔버들은 제 1 및 제 2 챔버들 아래의 높이에 있으며 측면으로 이격된 관계로 구성된다. 주위 환경과 차단된 각각의 챔버들은 내부에 위치되는 기판 지지대를 가진다.
다른 실시예에서, 이송 챔버가 설명된다. 이송 챔버는 로드록 인터페이스와 중앙 공동을 갖는 몸체를 포함한다. 로봇 조립체는 공동 내에 배열된다. 복수의 슬릿 밸브 도어가 로드록 챔버 인터페이스 내에 형성되는 개구 내부의 이송 챔버에 연결된다.
또 다른 실시예에서, 두 개의 아암을 포함하는 로봇 조립체가 설명된다. 아암은 수평과 수직 양 방향으로 이동가능하다. 각각의 아암은 두 개의 기판 수용 연장부를 포함한다. 각각의 기판 수용 연장부는 하나의 기판을 수용할 수 있다.
또 다른 실시예에서, 로드록 챔버, 이송 챔버, 및 이송 챔버 내에 배열되는 로봇 조립체를 포함하는 장치가 설명된다. 로드록 챔버는 내부에 형성되며 주위 환경과 차단된 제 1, 제 2, 제 3 및 제 4 챔버를 갖는 단일 챔버를 포함한다. 제 1 및 제 2 챔버들은 측면으로 이격된 관계로 구성된다. 제 3 및 제 4 챔버들은 제 1 및 제 2 챔버들 아래의 높이에 있으며 측면으로 이격된 관계로 구성된다. 주위 환경과 차단된 각각의 챔버들은 내부에 위치되는 기판 지지대를 가진다.
전술한 본 발명의 특징들이 더욱 명확하게 이해될 수 있는 방식으로, 위에서 간단히 요약된 본 발명에 대해 첨부 도면에 도시된 몇몇 실시예들을 참조하여 더욱 상세히 설명된다. 그러나, 첨부된 도면은 단지 본 발명의 통상적인 실시예들만을 도시한 것이므로 본 발명의 범주를 한정하는 것으로 이해해서는 않되며 본 발명의 다른 균등하고 효과적인 실시예들이 있을 수 있다고 이해해야 한다.
도 1은 로드록 챔버, 내부에 배열되는 로봇 조립체를 갖춘 이송 챔버, 및 처리 챔버를 포함하는 장치의 평면도이며,
도 2는 본 발명의 로드록 챔버의 팩토리 인터페이스 측의 정면도이며,
도 3은 본 발명의 로드록 챔버의 횡단면도이며,
도 4는 본 발명의 로드록 챔버의 평면도이며,
도 5는 본 발명의 이송 챔버의 개략도이며,
도 6은 로드록 챔버와 상호교류하는 이송 챔버의 개략도이며,
도 7은 본 발명의 이송 로봇의 개략도이며,
도 8은 본 발명의 이송 로봇의 저면도이며,
도 9는 본 발명의 이송 로봇의 개략도이다.
이해를 촉진시키기 위해, 도면에서 공통인 동일한 구성 요소를 나타내기 위해 가능하면, 동일한 도면 부호가 사용되었다. 일 실시예에 설명된 구성 요소는 특정한 설명없이도 다른 실시예들에 유리하게 사용될 수 있다고 이해해야 한다.
본 발명은 일반적으로 다단계 로드록 챔버 및 이송 챔버를 갖는 처리 장치를 포함한다. 다단계 로드록 챔버는 4 개의 주위 환경과 차단된 챔버를 가지며 로봇 조립체를 가지는 이송 챔버와 상호교류한다. 몇몇 실시예에서, 로봇 조립체는 로봇 조립체가 축선을 중심으로 회전할 때 각각 수직방향과 수평방향 양방향으로 이동할 수 있는 두 개의 아암을 포함한다. 아암들은 기판을 수용하고, 기판을 처리 챔버로 이송하고나서 기판의 상부의 차단 챔버에 놓도록 바닥의 로드록 챔버의 차 단 챔버 내측에 도달할 수 있다. 로드록 챔버 내의 차단 챔버 각각은 차단 챔버의 내측으로 접근할 수 있도록 개방될 수 있는 리드를 포함한다. 본 발명의 실시예들은 미국 산타클라라 소재의 어플라이드 머티리얼스 인코포레이티드에 의해 제조되는 프로듀서(등록상표) 에스이 처리 시스템과 같은 이송 챔버, 로드록 챔버, 및/또는 클러스터 툴 내의 이송 로봇으로서 이용될 수 있다. 또한 본 발명은 다른 제작자로부터 이용가능한 것들 포함하는, 다른 챔버, 로봇 및 클러스터 툴에 유리하게 구현될 수 있다.
도 1은 다단계 로드록 챔버(100), 로드록 챔버(100)에 연결되는 이송 챔버(400), 및 복수의 처리 챔버(16)를 포함하는 클러스터 툴 또는 처리 시스템(10)의 일 실시예를 도시하는 평면도이다. 이송 챔버 로봇(600)은 이송 챔버(400)의 중앙 공동(412) 내부에 위치된다. 로드록 챔버(100)는 기판(18)이 처리를 시작하도록 로드록 챔버(100)의 내측으로 이송될 수 있는 팩토리 인터페이스 측, 및 기판(18)이 처리 후에 로드록 챔버(100)로부터 진입되는 이송 챔버 측을 가진다. 이송 챔버 로봇(600)은 처리 챔버(16)와 로드록 챔버(100) 사이로 기판을 이송하는데 적합하다.
로드록 챔버
도 2 내지 도 4는 본 발명의 일 실시예에 따른 로들록 챔버들의 다양한 도면이다. 도 2는 본 발명에 따른 다단계 로드록 챔버(100)의 일 실시예에 따른 팩토리 인터페이스 측의 정면도이다. 도 3은 로드록 챔버의 횡단면도이다. 도 4는 로드록 챔버의 평면도이다. 도 2를 참조하면, 로드록 챔버(100)는 슬릿 밸브 도 어(102), 챔버 접근 리드(104), 슬릿 밸브 도어 작동기(106), 유체 공급 튜브(108), 리드 로킹 기구(110), 기판 리프트 핀 작동기(112), 기판 지지 컬럼(114), 및 챔버 개구(116)를 포함한다. 다단계 로드록 챔버(100)는 시스템으로 그리고 시스템으로부터의 기판의 연속적인 이송을 가능하게 한다. 유체 공급 튜브(108)는 질소를 공급할 수 있다.
로드록 챔버(100)는 단일 챔버 몸체(218)를 가진다. 제 1 챔버(220) 및 제 2 챔버(222)는 측면 이격된 관계로 챔버 몸체(218) 내에 형성된다. 제 3 챔버(224: 도 3 참조) 및 제 4 챔버(226: 도 4 참조)는 제 1 챔버(220)와 제 2 챔버(222) 아래의 위치에 측면 이격된 관계로 챔버 몸체(218) 내에 형성된다. 제 1 챔버(220), 제 2 챔버(222), 제 3 챔버(224), 및 제 4 챔버(226)는 어떠한 교차 오염을 방지하도록 서로로부터 주위 환경적으로 차단된다. 기판 지지대(206,210: 도 3 참조)는 각각의 챔버 내에 위치된다. 두 개의 상부 챔버(220,22)는 개구(116) 내에 도시되며 두 개의 하부 챔버(224,226)는 하부 슬릿 밸브 도어(102)의 뒤에 도시되어 있다. 상부 챔버(220,222)는 하부 챔버(224,226)와 독립적으로 작동할 수 있어서 상부 챔버(220,222)는 하부 챔버(224,226)를 포함하는 어떠한 활성에 의해 영향을 받지 않는다. 또한, 각각의 개별 챔버는 로드록 챔버(100) 내의 다른 챔버와 무관하게 작동(즉, 개방/폐쇄, 통풍 및 배기)될 수 있어서 각각의 챔버는 로드록 챔버(100)를 갖는 어떤 다른 챔버 내의 활성에 의해 영향을 받지 않는다. 로드록 챔버(100) 내의 주변 차단된 4 개의 챔버를 갖는 것에 의해서 각각의 챔버 내부의 내부 체적을 감소시켜 배기 시간을 감소시킬 수 있으며 이에 따라 보다 소형의 저 비용 진공 펌프를 필요로 한다.
기판의 연속적인 진입 및 퇴출 운동은 각각의 챔버(220,222,224,226)가 다른 챔버로부터 주변 차단된 지시받는 챔버 체적을 갖기 때문이다. 유입 기판으로부터의 탈가스는 유출 기판과 접촉하지 않을 것이다. 주변 차단된 챔버 체적은 유입 및 유출 기판의 기판 처리량을 최대화할 것이다. 또한, 유출 기판으로부터의 어떤 오염이나 교차 오염은 유입 챔버가 유출 챔버와 차단되어 있기 때문에 유입 웨이퍼와 격리된다.
일 실시예에서, 바닥의 두 챔버(224,226)는 기판을 유입(즉 미처리)시키는데 사용되며 상부 챔버(220,222)는 시스템 내부에서 처리된 기판을 유출시키는데 사용된다. 기판은 한번에 두 개씩 하부 챔버(224,226)의 내측으로 이동될 수 있다. 환언하면, 각각의 단일 기판이 실질적으로 동시에 각각의 하부 챔버(224,226)의 내측에 놓일 것이다. 기판은 로드록 챔버(100)로부터 이송되고 기판이 처리되는 처리 시스템의 내측으로 이송될 것이다. 모든 처리가 완료된 이후에, 기판은 로드록 챔버(100)의 상부 챔버(220,222)를 통과함으로써 시스템으로부터 제거될 것이다. 처리 및 미처리 기판은 챔버 몸체(218) 내의 다른 조합 챔버를 통해 이동될 것이다.
슬릿 밸브 도어(102)는 팩토리 인터페이스 측의 로드록 챔버(100)를 수평으로 가로질러 연장하며 각각 챔버 몸체(218)를 통해 형성된 두 개의 수평 챔버 개구(116)를 덮는다. 단일 슬릿 밸브 도어(102)가 두 개의 상부 챔버(220,222)를 밀봉하는데 사용되며, 단일 슬릿 밸브 도어(102)가 두 개의 하부 챔버(224,226)를 밀 봉하는데 사용된다. 작동기(106)는 슬릿 밸브 도어(102)를 개방하여 챔버 개구(116)를 통해 챔버의 내측을 노출시킨다. 작동기(106)는 다단계 로드록 챔버(100)의 상부에 위치되어 제 1 챔버(220) 및 제 2 챔버(222)를 선택적으로 밀봉하는 도어(102)를 작동시킨다. 제 2 작동기(106)는 다단계 로드록 챔버(100)의 바닥에 위치되어 제 3 챔버(224) 및 제 4 챔버(226)를 선택적으로 밀봉하는 도어(102)를 작동시킨다. 작동기(106)는 슬릿 밸브 도어(102)가 반대 방향으로 개방되도록 작동한다. 슬릿 밸브 작동기(106)는 하부 작동기(106)가 상부 작동기(106)와 무관하게 하부 슬릿 밸브 도어(102)를 개폐하도록 서로 무관하게 작동한다. 상부 챔버(220,222)용 슬릿 밸브 도어(102)는 상방향으로 개방되며 하부 챔버(224,226)용 슬릿 밸브 도어는 하방향으로 개방된다.
슬릿 밸브 도어(102)를 공유하는 하나의 상부 챔버와 하나의 하부 챔버보다 팩토리 인터페이스 측 상의 단일 슬릿 밸브 도어(102)를 공유하는 상부 챔버(220,222)의 이득은 상부 챔버(220,222)가 일체로 작동하여 기판 처리량의 효율성을 증대시키기 때문이다. 유사하게, 하부 챔버(224,226)도 일체로 작동하여 기판 처리량을 더욱 개선할 수 있다. (후술하는)이송 로봇은 동일 평면 내에 놓이는 것처럼, 실질적으로 유사한 높이에 배열되는 기판 지지대를 갖는 두 개의 챔버를 동시에 가로지르도록 설계된다. 하나의 상부 챔버와 하나의 하부 챔버가 실질적으로 유사한 기능을 실질적으로 동시에 수행하면(즉, 일체로 작동하면), 실질적으로 동일한 평면 내에 놓이는 처리 챔버로 기판을 이송하는 것은 훨씬 더 어렵고 비효율적이다.
로드록 챔버(100) 내의 상부 챔버(220,222)는 로드록 챔버를 통해 이동하는 열 교환 유체에 의해 적극적으로 냉각되며 챔버가 대기로 배기될 때 상부 챔버(220,222) 내의 기판으로부터 열을 빼앗는다. 기판은 추가로 또는 이와는 달리 냉각 판 상에 냉각될 수 있다. 냉각 판은 기판과 기판 지지대를 공간 이격 관계로 유지하는 복수의 스탠드오프 핀을 포함하여 잠재적인 배면 오염을 감소시키며 기판과 기판 지지대 사이에 균일한 갭을 제공하여 균일한 방열 냉각을 촉진시킨다. 일 실시예에서, 상기 갭은 약 0.05 인치 내지 약 0.025 인치일 수 있다. 다른 실시예에서, 상기 갭은 약 0.010 인치 내지 약 0.020 인치일 수 있다. 또 다른 실시예에서, 상기 갭은 0.015 인치일 수 있다. 상기 갭은 기판이 냉각 판으로부터 이격되게 하여 기판을 효율적으로 냉각시키면서 잠재적인 배면 오염을 감소시킨다.
로드록 챔버(100) 내의 각각의 챔버는 대응하는 리프트를 가지며 독립적으로 작동하는 기판 지지대(206,210)를 가진다. 하부 챔버(224,226)에 있는 리프트는 일련의 리프트 핀(208)이다. 상부 챔버에 있는 리프트는 스탠드오프 핀을 갖는 후프(204)이다. 챔버 몸체(218) 내의 각각의 챔버는 로드록 챔버(100)의 다른 챔버 및 처리 환경으로부터 차단된 체적을 가진다. 상부 챔버(220,222) 및 하부 챔버(224,226)용 리드[306(도 4 참조), 104(도 2 참조)]는 투명하거나 계측 신호를 투과하는 영역을 가져서 처리 공정 중에 계측이 수행될 수 있다. 투과성 리드(104,306)는 센서가 기판 및 기판 상에 배열되는 어떤 층의 다른 조건이나 변수를 모니터할 수 있게 한다. 일 실시예에서, 리드(104,306)는 투과성이다. 다른 실시예에서, 리드(304,306)는 투과성 석영이다.
로드록 챔버(100)는 상부 챔버(220,222)와 하부 챔버(224,226)에 대해 제공되는 리드(306,104)를 개방함으로써 쉽게 서비스 받을 수 있다. 하부 챔버(224,226)용 리드(104)는 로드록 챔버(100)에 피봇가능하게 부착된다. 피봇가능하게 부착되는 하부 챔버(224,226)용 리드(104)는 기술자들이 하드웨어를 최소로 제거하면서 하부 챔버(224,226)를 수리할 수 있게 한다. 리드(104)는 어떠한 각도(도 2 참조)로 절단될 수 있어서 지지대 칼럼(114)이 챔버 몸체(218)를 피해 스윙될 수 있게 한다. 리드(104)가 180도로 스윙될 때, 기술자들은 하부 챔버(224,226)의 모든 부품들을 쉽고 효율적으로 수리할 수 있다. 하부 챔버(224,226)용 리드(104)는 로드록 챔버(100)에 부착되는 리드 로킹 기구에 의해 로드록 챔버(100)에 가깝게 로크된다. 일 실시예에서, 리드 로킹 기구(110)는 로드록 챔버(100)에 나사 부착되며 리드(104)를 챔버 몸체(218)에 클램핑 고정하도록 조여질 수 있다. 하부 챔버용 리드(104)는 힌지(118) 주위에서 피봇된다(도 2 참조). 도 4는 지점(216)에 부착되는(도 2 참조) 상부 리드(306)를 도시하며 여기서 상기 리드(306)는 기술자가 상부 리드(306)를 용이하게 파지하고 이들 제거할 수 있도록 핸들(304)을 구비하고 있다.
리드(306)는 평탄면을 가진다. 유체 공급 튜브(108)는 리드(306)를 챔버에 인덱스한다. 리드(306)를 챔버(100)에 밀봉하기 위해 패스너 통과구멍은 유체 공급 튜브(108) 주위에서 회전한다. 포인트(216)는 리드(306)를 챔버(100)로 근접시킬 때 리드(306)의 중량을 제어하기 위한 지렛목으로서 사용될 수 있다.
로드록 챔버(100)는 로드록 챔버(100)의 수형 커넥터(302,308)를 이송 챔버 의 암형 커넥터(406,408)의 내측에 삽입시킴으로써(도 5 참조) 이송 챔버(400)와 상호교류할 수 있다. 롤드록 챔버 몸체(218)는 로드록 챔버(100)의 상부 챔버(220,222) 및 하부 챔버(224,226)의 개구들을 에워싸는 수형 커넥터(302)를 포함한다. 둥근 수형 커넥터(308)는 챔버 몸체(218)로부터 연장하여 이송 챔버(400)의 내측에 삽입됨으로써 로드록 챔버(100)를 이송 챔버(400)에 대해 위치시킨다. 시일(도시 않음)은 로드록 챔버(100)와 이송 챔버(400)의 사이에 배열되어서 진공 누설을 방지한다.
하나의 작동 모드에서, 로봇은 하부 챔버(224,226)의 내측으로 연장하여 기판을 각각의 기판 지지대(210) 상에 위치시킨다. 기판 지지대 칼럼(114)이 제공되며 그 내부에서 가열 액체가 하부 챔버(224,226)로 유동될 수 있다. 리프트 핀 작동기(112)는 리프트 핀(208)을 상승시키며(도 3 참조) 기판이 로드록 챔버(100)로부터 이송 챔버(400)의 내측으로 이송될 준비가 될 때 기판 지지대(210)로부터 기판을 상승시킨다. 하부 챔버 내의 압력은 이송 챔버(400) 내의 압력과 조화되도록 감소한다. 이러한 시점에서, 기판은 예비-가열 또는 냉각과 같은 예비-처리 공정이 수행될 수 있다. 기판에 예비-처리공정을 수행하는 냐의 여부는 시스템이 기판에 수행하는 주 공정의 필수 요건에 의존한다. 예를 들어, 어떠한 적용예에서 공정이 수행되기 이전에 기판이 가열된다면 주 공정은 더 빠르거나 더 효율적으로 처리될 것이다.
기판은 기판 지지대(210) 내에 존재하는 가열 소자(212: 도 3 참조)에 의해 가열될 수 있다. 열 공급원(214: 도 3 참조)은 가열된 유체와 같은 적절한 가열 수단을 가열 소자(212)에 전기를 공급하는 가열 채널 또는 전기 도관에 공급할 수 있다. 열 공급원은 기판 지지 칼럼(114)을 통해 이동된다. 일 실시예에서, 로드록 챔버(100)는 하부 챔버(224,226) 내의 기판을 가열하며 상부 챔버(220,222) 내의 기판을 냉각한다.
하부 챔버(224,226) 내의 압력이 감소되고 선택적인 예비-처리 공정이 완료된 이후에, 이송 챔버 측 상의 슬릿 밸브 도어(502: 도 6 참조)가 개방된다. 그후 이송 챔버 로봇(도 7 참조)은 하부 챔버(224,226)을 수리할 수 있으며 기판을 하부 챔버(224,226)의 리프트 핀(208)으로부터 제거할 수 있다. 이송 챔버 로봇(600)은 처리를 위해 기판을 하부 챔버(224,226)로부터 처리 챔버(16)로 이동시킨 후에 기판을 상부 챔버(220,222)로 다시 이동시킨다. 기판을 상부 챔버(220,222) 내에 수용할 때, 로봇(600)은 기판을 상부 챔버(220,222) 내에 배열되는 후프(204) 상에 위치시킨다(도 3 참조). 일단 후프(204) 상에 수용되면, 후프 작동기(도 3 참조)는 기판을 기판 지지대(206)로 하강시킬 것이다. 기판이 시스템으로부터 제거될 준비가 되면, 상부 작동기(106)는 슬릿 밸브 도어(102)를 개방하여 상부 챔버(220,222)를 팩토리 인터페이스에 노출시킨다. 후프 작동기(202)는 후프(204) 상의 기판을 상승시킨다. 기판이 제거된 후에, 작동기(106)는 상부 챔버(220,222)에 대해 슬릿 밸브 도어(102)를 폐쇄한다.
상부 챔버(220,222) 내의 압력은 유체 이동 튜브(108)에 의해 팩토리 인터페이스의 압력으로 복귀된다. 이러한 시점에서, 기판은 예비-처리 또는 냉각과 같은 후-처리 공정이 수행될 수 있다. 예를 들어, 글라스 공정 상의 스핀과 같은 어떤 경우에 얇은 액체 글라스 코팅이 기판 지지대(206)를 가열함으로써 열 경화된다. 이와는 달리, 기판이 처리 챔버로부터 복귀한 후에 가열되는 어떤 경우에 기판은 기판 지지대(206)를 냉각시킴으로써 팩토리 인터페이스를 통해 후방으로 기판을 이송하기 이전에 냉각될 수 있다. 상부 챔버(220,222) 내의 압력이 주위 압력으로 증가되고 선택적인 후-처리 단계가 완료된 이후에, 팩토리 인터페이스 내의 로봇은 상부 챔버로부터 처리된 기판을 이동시킬 수 있다.
이송 챔버
도 5는 본 발명의 일 실시예에 따른 이송 챔버의 개략도이다. 이송 챔버(400)는 중앙 공동(412)을 포함하는 몸체를 가진다. 상기 몸체는 중앙 공동이 처리 챔버와 상호교류할 수 있게 하는 최대 6 개의 개구(404)를 가진다. 두 개의 개구(402)는 로드록 챔버(100)와의 상호교류를 위해 제 1 측면 상에 존재한다. 이송 챔버(400)는 로드록 챔버(100)의 수형 커넥터(302,308)와 결합하는 암형 커넥터(406,408)를 가진다. 수형 커넥터(302)는 암형 커넥터(406)과 결합하며 수형 커넥터(308)는 암형 커넥터(408)에 연결된다. 개구(410)는 이송 챔버 로봇(600)을 위한 상기 몸체의 하부분에 제공된다.
도 6은 로드록 챔버가 본 발명의 일 실시예에 따라 상호교류하는 이송 챔버의 개략도이다. 로드록 챔버(100)의 이송 챔버 측을 밀봉하기 위한 슬릿 밸브 도어(502)는 이송 챔버(400) 상에 제공된다. 슬릿 밸브 도어(502)는 로드록 챔버(100)의 각각의 챔버(220,222,224,226)에 제공된다. 슬릿 밸브 도어(502)는 두 개의 상부 도어와 두 개의 하부 도어로 구성된다. 두 개의 상부 도어는 로드록 챔 버(100)의 두 개의 상부 챔버(220,222)에 대응하며 상기 두 개의 하부 도어(502)는 로드록 챔버(100)의 두 개의 하부 챔버(224,226)에 대응한다. 각각의 슬릿 밸브 도어(502)는 작동기 연결부(504)에 의해 슬릿 밸브 작동기(506)에 연결된다. 일 실시예에서, 슬릿 밸브 작동기(506)는 공압식이며 공압 유체를 작동기에 제공하기 위한 배관을 가진다. 벨로우즈(도시 않음)는 공압식 배관을 둘러쌀 수 있으며 임의의 공압 유체가 시스템의 내측으로 누출되는 것을 방지한다.
이송 챔버(400)는 로봇 아암(512: 도 6 참조)에 의해 개방되도록 피봇되어 이송 챔버(400)가 필요에 따라 수리될 수 있게 하는 리드(510: 도 6 참조)를 가진다. 리드(510)는 계측 목적용으로 (석영 창과 같은)투과 영역을 갖거나 가질 수 있다. 이송 챔버(400)의 상부 표면을 가로질러서 시스템 내의 다른 평면 상에 있는 기판을 검출할 수 있는 관통 비임용 국소 중앙 파인더(508)가 부착된다. 기판이 로드록 챔버(100)의 하부 챔버(224,226)를 통해 이송 챔버(400)의 내측으로 이동되고 로드록 챔버(100)의 상부 챔버(220,222)를 통해 이송 챔버(400)로부터 제거되기 때문에, 기판은 다중 평면 내에서 이송 챔버(400)에서 이동될 것이다. 관통 비임 국소 중앙 파인더(508)는 이송 챔버(400) 내의 다중 평면 내에 있는 기판을 견인하는데 사용될 수 있다.
이송 챔버 로봇
도 7 내지 도 9는 본 발명의 이송 챔버 로봇(600)의 일 실시예를 도시한다. 이송 챔버 로봇(600)는 다중 아암(608,616)을 가진다. 이송 챔버 로봇(600)는 로드록 챔버(100)의 하부 챔버(224,226)와 상부 챔버(220,222)에 모두 접근할 수 있 도록 구성된다. 로봇(600)은 다중 평면 내에서 아암(608,616)을 이동시킬 수 있다. 로봇(600)은 회전가능하며 X-Y 평면 내에서 아암(608,616)을 연장시킬 수 있다. 로봇(600)은 또한 Z 평면에서 상하로 병진 운동할 수 있어서 하부 챔버(224,226)로부터 회수한 기판을 들어올려 처리 챔버의 내측에 위치시킬 수 있다. 또한, 로봇(600)은 Z 평면에서 이동하여 기판이 상이한 높이의 처리 챔버 내측에 위치시키는 것도 고려할 수 있다. 예를 들어, 로봇(600)은 하나 위로 차례로 적층된 처리 챔버로 접근할 수 있다.
일 실시예에서, 이중 데커(decker) 챔버가 사용된다. 이중 데커 챔버는 시스템 내부의 동일한 공간을 공유하는 하나 위로 차례로 수직 적층된 두 개의 챔버이다. 상기 챔버는 각각 이중 데커 배열 내에서 다른 챔버와 주변 차단될 수 있는 챔버 체적을 가진다. 상기 챔버는 동일한 펌프와 가스 패널을 공유하여 비용을 낮출 수 있거나, 챔버는 독립적인 펌프와 독립적인 가스 패널을 가질 수 있다. 또한, 상기 챔버는 다른 기능을 수행하도록 구성될 수도 있다. 상기 챔버는 서로 경면 대칭이어서 이중 데커 배열의 하나의 챔버가 이중 데커 배열의 다른 챔버에 대해 거꾸로 된 형상을 가질 수 있다. 처리 챔버 내의 모든 처리 챔버가 이중 데커 챔버로 변경되면, 기판 처리량이 두배로 될 수 있다.
로봇(600)은 각각의 아암 조립체(608,616)를 위한 하부 피봇 아암(602,610)과 각각의 아암 조립체(608,616)를 위한 상부 피봇 아암(604,612)을 포함한다. 아암 조립체(608,616)는 각각 두 개의 평탄한 블레이드(618,620)를 가진다. 그러므로, 각각의 아암 조립체(608,616)는 두 개의 기판을 유지시킬 수 있다. 하나의 기 판이 각각의 블레이드(618,620) 내에 유지될 수 있다. 일 실시예에서, 블레이드(618,620)는 포켓을 가질 수 있다. 도 8에 도시된 실시예에서, 블레이드(618,620)는 평탄하며 포켓을 형성하지 않는다. 블레이드(618,620)는 기판(622)의 배면에 대해 평탄하다. 기판(622)이 블레이드(618,620) 상에 놓여 블레이드(618,620)는 기판(622)의 에지를 탈출시키지 않는다. 포켓이 형성되지 않은 블레이드(618,620)는 기판 에지와 접촉하지 않는다.
도 9에 도시한 바와 같이, 로봇(600)은 각각의 아암(602,610)은 블레이드(618,620)가 이송 챔버(400)를 따라 상이한 위치에서 상이한 처리 챔버의 내측으로 연장될 수 있도록 펼쳐질 수 있다. 아암(602,610)은 각각의 아암(602,610)에 의해 처리 챔버 또는 로드록 챔버(100)로 접근할 수 있으며, 또한 이송 챔버(400)의 반대쪽 또는 이송 챔버(400)의 인접쪽으로 접근할 수 있다. 하부 아암(602,610)과 상부 피봇 아암(604,612)은 상부 아암 조립체(616)와 하부 아암 조립체(608)가 서로 독립적으로 연장할 수 있도록 각각 연장될 수 있다. 아암 조립체(608,616)를 연장시키기 위해, 상부 피봇 아암(604,612)과 하부 피봇 아암(602,610)은 회전한다. 로봇(600)은 Z 방향으로 집단적으로 이동함으로써 전체 이송 챔버 로봇(600)은 Z방향으로 병진운동된다.
주위 환경과 차단된 4 개의 챔버를 가지는 로드록 챔버(100)를 피봇시킴으로써, 기판 처리량이 현저히 증대될 수 있는데, 이는 4 개 정도의 기판이 로드록 챔버(100)를 통해 동시에 이송될 수 있기 때문이다. 또한, 다중 평면에서 이동할 수 있는 이중 아암 로봇을 가짐으로써 기판 처리량이 증대되는데, 이는 4 개 정도의 기판이 한번에 로봇에 의해 병진 운동될 수 있기 때문이다.
전술한 설명들이 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 추가의 실시예들이 본 발명의 기본 범주로부터 이탈함이 없이 창안될 수 있으며, 본 발명의 범주는 다음의 청구의 범위에 의해 결정된다.

Claims (20)

  1. 로드록 챔버로서,
    단일 챔버 몸체와,
    상기 챔버 몸체 내에 측면으로 이격된 관계로 형성되는 제 1 챔버 및 제 2 챔버와,
    상기 제 1 챔버와 제 2 챔버의 아래의 높이에 측면으로 이격된 관계로 상기 챔버 몸체 내에 형성되는 제 3 챔버 및 제 4 챔버, 그리고
    각각의 챔버 내에 각각 위치되는 기판 지지대를 포함하며,
    상기 제 1 챔버 및 제 2 챔버와 상기 제 3 챔버 및 제 4 챔버가 서로로부터 주변 환경에 차단되어 있는,
    로드록 챔버.
  2. 제 1 항에 있어서,
    상기 챔버 몸체에 연결되며, 중앙 공동을 갖는 이송 몸체를 포함하는 이송 챔버, 및
    상기 중앙 공동 내에 배열되는 로봇 조립체를 더 포함하는,
    로드록 챔버.
  3. 제 1 항 또는 제 2 항에 있어서,
    상기 제 1 챔버와 제 2 챔버를 선택적으로 밀봉하는 상부 슬릿 밸브 도어, 및
    상기 제 3 챔버와 제 4 챔버를 선택적으로 밀봉하는 하부 슬릿 밸브 도어를 더 포함하는,
    로드록 챔버.
  4. 제 3 항에 있어서,
    상기 상부 슬릿 밸브 도어에 연결되는 제 1 작동기, 및
    상기 하부 슬릿 밸브 도어에 연결되는 제 2 작동기를 더 포함하며,
    상기 제 1 작동기는 상기 상부 슬릿 밸브 도어를 하강된 폐쇄 위치와 상승된 개방 위치 사이에서 이동시키며,
    상기 제 2 작동기는 상기 하부 슬릿 밸브 도어를 상승된 폐쇄 위치와 하강된 개방 위치 사이에서 이동시키는,
    로드록 챔버.
  5. 제 1 항 또는 제 2 항에 있어서,
    상기 기판 지지대는 가열기 소자를 더 포함하는,
    로드록 챔버.
  6. 제 1 항 또는 제 2 항에 있어서,
    상기 챔버 몸체에 연결되며 상기 제 1 챔버를 선택적으로 밀봉하는 제 1 리드, 및
    상기 챔버 몸체에 연결되며 상기 제 2 챔버를 선택적으로 밀봉하는 제 2 리드를 더 포함하는,
    로드록 챔버.
  7. 제 6 항에 있어서,
    상기 챔버 몸체에 연결되며 상기 제 3 챔버를 선택적으로 밀봉하는 제 3 리드, 및
    상기 챔버 몸체에 연결되며 상기 제 4 챔버를 선택적으로 밀봉하는 제 4 리드를 더 포함하는,
    로드록 챔버.
  8. 제 7 항에 있어서,
    상기 제 3 및 제 4 리드는 바닥으로부터 상기 제 3 및 제 4 챔버로 접근하도록 힌지 상에서 피봇하는,
    로드록 챔버.
  9. 제 1 항 또는 제 2 항에 있어서,
    상기 제 3 및 제 4 챔버 내에 배열되는 복수의 리프트 핀, 및
    상기 제 1 및 제 2 챔버 내에 배열되는 기판 리프트 후프를 더 포함하며,
    상기 리프트 핀은 하강된 위치와 상승된 위치 사이에서 이동가능하며,
    상기 제 1 및 제 2 챔버 내의 상기 기판 리프트 후프는 하강된 위치와 상승된 위치 사이에서 이동가능한,
    로드록 챔버.
  10. 제 2 항에 있어서,
    상기 이송 챔버는,
    상기 이송 몸체를 통해 상기 중앙 공동에 형성되는 두 개의 개구를 갖는 로드록 챔버 인터페이스, 및
    상기 이송 몸체에 연결되는 두 쌍의 슬릿 밸브 도어를 더 포함하며,
    상기 각각의 슬릿 밸드 도어 쌍은 상기 로드록 챔버 인터페이스의 각각의 개구 내에 배열되는,
    로드록 챔버.
  11. 이송 챔버로서,
    중앙 공동과 로드록 챔버 인터페이스를 갖는 몸체와,
    상기 몸체와 연결되는 두 쌍의 슬릿 밸브 도어, 및
    상기 중앙 공동 내에 배열되는 로봇 조립체를 포함하며,
    상기 로드록 챔버 인터페이스는 상기 몸체를 통해 상기 중앙 공동에 형성되는 두 개의 개구를 포함하며,
    상기 각각의 슬릿 밸브 도어 쌍은 상기 로드록 챔버 인터페이스의 각각의 개구 내에 배열되는,
    이송 챔버.
  12. 로봇 조립체로서,
    하나의 기판을 각각 수용할 수 있는 두 개의 기판 수용 연장부를 포함하는 적어도 하나의 아암, 및
    상기 각각의 아암에 연결되는 적어도 하나의 기판 수용 블레이드를 포함하 며,
    상기 블레이드는 상기 기판 주위에서 상기 블레이드의 휨없이 상기 기판이 상기 블레이드에 대해 평탄하게 놓일 수 있도록 기판을 수용할 수 있게 구성되는,
    로봇 조립체.
  13. 제 12 항에 있어서,
    상기 로봇 조립체는 두 개의 아암을 포함하는,
    로봇 조립체.
  14. 제 13 항에 있어서,
    상기 두 개의 아암은 별도의 평면 내에 놓이며 상기 다른 아암과 독립적으로 각각 이동하는,
    로봇 조립체.
  15. 기판 처리 방법으로서,
    로드록 챔버 몸체 내에 두 개의 기판을 위치시키는 단계로서, 상기 기판은 상기 로드록 챔버 몸체 내에 있는 제 1 및 제 2 챔버 내부에 위치되며, 상기 제 1 및 제 2 챔버는 측면으로 이격된 관계로 놓이며, 상기 로드록 챔버 몸체는 일체형 처리 툴과 결합되는, 기판을 위치시키는 단계와,
    상기 로드록 챔버 몸체로부터 상기 기판을 제거하고 상기 일체형 처리 툴 내에 기판을 위치시키는 단계와,
    상기 기판을 처리하는 단계, 및
    상기 로드록 챔버 몸체 내에 상기 기판을 재위치시키는 단계로서, 상기 기판은 상기 로드록 챔버 몸체 내에 있는 제 3 및 제 4 챔버 내에 위치되며, 상기 제 3 및 제 4 챔버는 상기 제 1 및 제 2 챔버 위의 위치에 측면으로 이격된 관계로 놓이며, 상기 제 1 챔버, 제 2 챔버, 제 3 챔버 및 제 4 챔버는 서로로부터 주위 환경에 차단되어 있는, 기판을 재위치시키는 단계를 포함하는,
    기판 처리 방법.
  16. 제 15 항에 있어서,
    상기 제 1 및 제 2 챔버 내에 상기 기판을 위치시키는 단계는,
    상기 제 1 및 제 2 챔버로 접근하도록 팩토리 인터페이스 도어를 개방하는 단계를 포함하며,
    상기 제 1 및 제 2 챔버는 공통 도어와 연결되며, 상기 팩토리 인터레이스 도어는 상기 로드록 챔버 몸체의 제 1 측면 상에 위치되는,
    기판 처리 방법.
  17. 제 16 항에 있어서,
    상기 기판을 제거하는 단계는,
    상기 제 1 및 제 2 챔버용 이송 챔버 도어를 개방하는 단계를 포함하며,
    상기 각각의 챔버는 별도의 이송 챔버 도어를 가지며, 상기 이송 챔버 도어는 상기 로드록 챔버 몸체의 제 1 측면의 반대쪽에 있는 다른 측면 상에 위치되는,
    기판 처리 방법.
  18. 제 15 항에 있어서,
    상기 제 1 챔버, 제 2 챔버, 제 3 챔버 및 제 4 챔버의 상태를 모니터링하는 단계를 더 포함하며,
    상기 모니터링 단계는 투과가능한 챔버 리드를 통해 상태를 모니터링하는,
    기판 처리 방법.
  19. 제 15 항에 있어서,
    추가의 기판을 위해 상기 기판을 위치시키는 단계, 기판을 제거하는 단계, 기판을 처리하는 단계 및 기판을 재위치시키는 단계를 반복하는 단계를 더 포함하 는,
    기판 처리 방법.
  20. 제 19 항에 있어서,
    상기 추가의 기판을 위해 반복하는 단계는 상기 기판을 제거하는 단계 이후에 시작하는,
    기판 처리 방법.
KR1020087026104A 2006-06-15 2007-06-04 다단계 로드록 챔버, 이송 챔버, 및 이들과의 상호교류에 적합한 로봇 KR20090007367A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/424,461 US7695232B2 (en) 2006-06-15 2006-06-15 Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
US11/424,461 2006-06-15

Publications (1)

Publication Number Publication Date
KR20090007367A true KR20090007367A (ko) 2009-01-16

Family

ID=38832647

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020087026104A KR20090007367A (ko) 2006-06-15 2007-06-04 다단계 로드록 챔버, 이송 챔버, 및 이들과의 상호교류에 적합한 로봇

Country Status (6)

Country Link
US (2) US7695232B2 (ko)
JP (2) JP2009540613A (ko)
KR (1) KR20090007367A (ko)
CN (1) CN101432856B (ko)
TW (1) TWI455225B (ko)
WO (1) WO2007146643A2 (ko)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011512020A (ja) * 2007-12-20 2011-04-14 アプライド マテリアルズ インコーポレイテッド 移送モジュール上の1つの単独ファセットを使用する互い違いの二重プロセスチャンバー
KR100941934B1 (ko) * 2007-12-28 2010-02-11 주식회사 아토 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버
KR101396469B1 (ko) * 2008-07-15 2014-05-23 가부시키가이샤 아루박 공작물 전달 시스템 및 방법
JP5581338B2 (ja) * 2009-01-11 2014-08-27 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造において基板を搬送するためのロボットシステム、装置、および方法
US8440048B2 (en) * 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
JP2011077399A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 被処理体の搬送方法及び被処理体処理装置
KR101744372B1 (ko) 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
JP6114698B2 (ja) 2011-03-01 2017-04-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated デュアルロードロック構成内の除害及びストリップ処理チャンバ
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
CN203205393U (zh) 2011-03-01 2013-09-18 应用材料公司 用于转移基板及限制自由基的箍组件
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
KR102578140B1 (ko) * 2011-09-16 2023-09-14 퍼시몬 테크놀로지스 코포레이션 로봇 구동부 및 무선 데이터 커플링
CN102420161A (zh) * 2011-11-23 2012-04-18 北京七星华创电子股份有限公司 一种运送晶圆状物件的装置及方法
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
CN104428884B (zh) 2012-07-05 2017-10-24 应用材料公司 吊杆驱动装置、多臂机械手装置、电子器件处理系统及用于在电子器件制造系统中传送基板的方法
TWM476362U (en) 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
CN105378907A (zh) * 2013-07-24 2016-03-02 应用材料公司 钴基板处理系统、设备及方法
CN104445981A (zh) * 2014-11-10 2015-03-25 芜湖真空科技有限公司 镀膜装置
US10879177B2 (en) * 2015-06-19 2020-12-29 Applied Materials, Inc. PVD deposition and anneal of multi-layer metal-dielectric film
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
CN105789091B (zh) * 2016-03-16 2020-04-24 沈阳拓荆科技有限公司 负载腔室及其使用该负载腔室之多腔室处理系统
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US11482434B2 (en) * 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
KR102400603B1 (ko) * 2019-03-29 2022-05-19 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US20230113673A1 (en) * 2021-10-12 2023-04-13 Applied Materials, Inc. Factory interface robots usable with integrated load locks

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4047624A (en) * 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6152070A (en) 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
JPH10233423A (ja) * 1997-02-21 1998-09-02 Nikon Corp ロードロック式真空処理装置
EP2099061A3 (en) * 1997-11-28 2013-06-12 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6270582B1 (en) 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6340406B1 (en) * 1999-03-24 2002-01-22 Moore North America, Inc. Simple pressure seal units
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
KR20010002112A (ko) 1999-06-11 2001-01-05 윤종용 에칭설비의 공정챔버
JP4392811B2 (ja) 1999-07-29 2010-01-06 三井造船株式会社 基板のプロセス処理システム
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US20020196201A1 (en) * 2000-06-30 2002-12-26 Rosen Products Llc. Vehicular display system
US6553280B2 (en) * 2000-07-07 2003-04-22 Applied Materials, Inc. Valve/sensor assemblies
KR100960773B1 (ko) * 2000-09-15 2010-06-01 어플라이드 머티어리얼스, 인코포레이티드 처리 장비용 더블 이중 슬롯 로드록
US6528427B2 (en) 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US6506994B2 (en) 2001-06-15 2003-01-14 Applied Materials, Inc. Low profile thick film heaters in multi-slot bake chamber
US20020195201A1 (en) 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6841006B2 (en) 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US7316966B2 (en) 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US20030075915A1 (en) * 2001-10-24 2003-04-24 S.Y. Kim Slide hatch for bulk carrier
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6962644B2 (en) 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7085622B2 (en) 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US6827128B2 (en) * 2002-05-20 2004-12-07 The Board Of Trustees Of The University Of Illinois Flexible microchannel heat exchanger
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US6696367B1 (en) 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
JP3970184B2 (ja) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 処理装置
US6896387B2 (en) * 2003-05-02 2005-05-24 Gregg A. Renfro Courtesy illumination disk for a cup holder
US6766596B1 (en) * 2003-07-14 2004-07-27 Gemtron Corporation Appliance door or lid
JP4285642B2 (ja) * 2003-08-12 2009-06-24 東京エレクトロン株式会社 真空容器
US20050150452A1 (en) 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050229849A1 (en) 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050196971A1 (en) 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
KR101071817B1 (ko) * 2004-04-19 2011-10-11 엘지전자 주식회사 건조겸용 드럼세탁기
US7282097B2 (en) * 2004-06-14 2007-10-16 Applied Materials, Inc. Slit valve door seal
US7094442B2 (en) 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法

Also Published As

Publication number Publication date
US7997851B2 (en) 2011-08-16
US20090092466A1 (en) 2009-04-09
CN101432856A (zh) 2009-05-13
JP2009540613A (ja) 2009-11-19
TW200807608A (en) 2008-02-01
TWI455225B (zh) 2014-10-01
WO2007146643A2 (en) 2007-12-21
US20070292244A1 (en) 2007-12-20
WO2007146643A3 (en) 2008-10-23
JP2013141015A (ja) 2013-07-18
US7695232B2 (en) 2010-04-13
CN101432856B (zh) 2012-05-30

Similar Documents

Publication Publication Date Title
KR20090007367A (ko) 다단계 로드록 챔버, 이송 챔버, 및 이들과의 상호교류에 적합한 로봇
JP7253015B2 (ja) 高圧ウエハ処理システム及び関連方法
US6969227B2 (en) Wafer transport apparatus
TWI823166B (zh) 電子設備製造裝置、系統及方法中的裝載端口操作
US8430620B1 (en) Dedicated hot and cold end effectors for improved throughput
US6000227A (en) Wafer cooling in a transfer chamber of a vacuum processing system
US20050045616A1 (en) Substrate heating apparatus and multi-chamber substrate processing system
JP2003526895A (ja) 真空処理装置においてウェーハを前処理及び後処理するための枚葉式ウェーハロードロックチャンバ
WO2000028587A1 (fr) Dispositif de traitement
TW201319294A (zh) 適合處理在多層中之基板的機器人系統、設備及方法
KR20180111592A (ko) 기판 처리 장치
TW201834121A (zh) 環境可控的移送模組和處理系統
JP4283914B2 (ja) 二平板ガス補助加熱モジュール
KR20210055082A (ko) 진공 처리 장치 및 기판 반송 방법
JP2019520701A (ja) 12面形の移送チャンバ、及び、かかる移送チャンバを有する処理システム
JP2000144430A (ja) 真空処理装置及びマルチチャンバ型真空処理装置
KR20040013295A (ko) 반도체 제조설비
KR102614457B1 (ko) 고압 기판 처리 장치
KR20080058690A (ko) 기판 처리 장치
US20220230896A1 (en) Substrate processing apparatus
KR101725894B1 (ko) 로드락 챔버
KR100843103B1 (ko) 반도체공정장치
US20070077134A1 (en) Vacuum handler systems and processes for flexible automation of semiconductor fabrication
JP2003203961A (ja) 基板処理装置
KR20080058624A (ko) 기판 처리 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
J201 Request for trial against refusal decision
AMND Amendment
B601 Maintenance of original decision after re-examination before a trial
J301 Trial decision

Free format text: TRIAL DECISION FOR APPEAL AGAINST DECISION TO DECLINE REFUSAL REQUESTED 20110729

Effective date: 20120821