TWI455225B - 多層式負戴鎖定室與傳送腔及適於接合上述的機械臂 - Google Patents

多層式負戴鎖定室與傳送腔及適於接合上述的機械臂 Download PDF

Info

Publication number
TWI455225B
TWI455225B TW096121412A TW96121412A TWI455225B TW I455225 B TWI455225 B TW I455225B TW 096121412 A TW096121412 A TW 096121412A TW 96121412 A TW96121412 A TW 96121412A TW I455225 B TWI455225 B TW I455225B
Authority
TW
Taiwan
Prior art keywords
chamber
load lock
substrate
transfer
chambers
Prior art date
Application number
TW096121412A
Other languages
English (en)
Other versions
TW200807608A (en
Inventor
Robert B Moore
Eric Ruhland
Satish Sundar
Mario David Silvetti
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW200807608A publication Critical patent/TW200807608A/zh
Application granted granted Critical
Publication of TWI455225B publication Critical patent/TWI455225B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

多層式負戴鎖定室與傳送腔及適於接合上述的機械臂
本發明之實施例大體上是關於在環控氛圍下連續執行多個基材處理製程的設備。
基材產量一直是半導體製程的挑戰。技術要精進,需持續地有效處理半導體基材。群集工具(cluster tool)已發展做為同時處理多個基材且不破真空狀態的有效手段。不像因處理單一基材後將基材傳送到另一處理室而接觸大氣,多個處理室可連接至共通的傳送腔,如此當於一處理室中處理完基材後,仍可在真空狀態下將基材移到另一連接同一傳送腔的處理室。
群集工具的另一好處為,可在群集工具的不同處理室中同時處理多個基材。當一基材離開處理室並傳送到另一處理室後,則可將第二個基材置入第一處理室中。因此,可在群集工具內進行連續製程來同時處理不同基材。
為進入傳送腔,基材先經過負載鎖定室(load lock chamber)。負載鎖定室可於處理基材前加熱基材。完成群集工具中所有的基材處理程序後,基材傳回到負載鎖定室,且可以由此離開群集工具系統。
因此,群集工具極有利於提高基材產量。當然也不斷地期能提高基材產量。故此技藝需要提高用以連續進行多個基材處理製程之設備的基材產量。
本發明大致上包含一多層式負載鎖定室、一傳送腔及一適於接合上述兩者的機械臂。多層式負載鎖定室具有4個環境隔離室,且可接合容納有機械組件的傳送腔。機械組件具有二臂手,當機械組件順著其軸轉動時,臂手可獨立地水平與垂直移動。機械臂係設置以利用各自臂手而進入負載鎖定室之上方室及下方室。
在一實施例中,揭露一負載鎖定室。負載鎖定室包括單一室體,其內具有第一、第二、第三、和第四環境隔離室。第一與第二室為側向分隔設置。第三與第四室為側向分隔設置,且位於第一與第二室下方。各環境隔離室內具有一基材支撐件。
在另一實施例中,揭露一傳送腔。傳送腔包含一主體,其具有負載鎖定室介面與中央腔體。機械組件係設置於腔體中。複數個狹閥門透過負載鎖定室介面中的開口耦接至傳送腔主體。
在又一實施例中,揭露一包含二臂手的機械組件。臂手可水平與垂直地移動。每一臂手包含二基材接收延伸部。各基材接收延伸部可接收一個基材。
在再一實施例中,揭露一包含負載鎖定室、傳送腔及設置於傳送腔內之機械組件的設備。負載鎖定室包括單一室體,其內具有第一、第二、第三、和第四環境隔離室。第一與第二室為側向分隔設置。第三與第四室為側向分隔設置,且位於第一與第二室下方。各環境隔離室內具有一基材支撐件。
本發明大致上包含具多層式負載鎖定室與傳送腔的處理設備。多層式負載鎖定室具有4個環境隔離室並接合具機械組件的傳送腔。在一些實施例中,機械組件包括二臂手,當機械組件順著其軸轉動時,臂手可獨立地水平與垂直移動。臂手可延伸到負載鎖定室的下隔離室來接收基材、傳送基材到處理室、接著放置基材到上隔離室。負載鎖定室中的各個隔離室包括上蓋,其可打開以進入隔離室內部。本發明之實施例可做為群集工具中的傳送腔、負載鎖定室、及/或傳送機械臂,該群集工具例如美國加州聖克拉拉之應用材料公司(Applied Materials,Inc.)製造的PRODUCERSE處理系統。本發明亦可應用至其他處理室、機械裝置、和群集工具,亦包括其他製造商供應的該些設備。
第1圖為群集工具或處理系統10之一實施例的平面圖,包含多層式負載鎖定室100、耦接負載鎖定室100的傳送腔400、和多個處理室16。傳送腔機械臂600位於傳送腔400的中央腔體412中。負載鎖定室100具有工作介面側,基材18將由此帶進負載鎖定室100而開始進行處理,負載鎖定室100亦具有傳送腔側,基材18經處理後將自負載鎖定室100離開至此。傳送腔機械臂600適於在處理室16與負載鎖定室100間傳送基材。
負載鎖定室
第2-4圖繪示根據本發明實施例之負載鎖定室的不同角度視圖。第2圖為根據本發明實施例的多層式負載鎖定室100之工作介面側的正視圖。第3圖為負載鎖定室的截面圖。第4圖為負載鎖定室的上視圖。參照第2圖,負載鎖定室100包括狹閥門102、處理室進入上蓋104、狹閥門啟動器106、進液管108、上蓋鎖固機制110、基材升舉銷啟動器112、基材支撐柱114、和處理室開口116。多層式負載鎖定室100可連續傳送基材進出系統。進液管108可供應氮氣。
負載鎖定室100具有單一室體218。室體218內的第一室220與第二室222為側向分隔設置。室體218內的第三室224(參見第3圖)與第四室226(參見第3圖)為側向分隔設置,並位於第一室220與第二室222下方。第一室220、第二室222、第三室224、和第四室226的環境乃彼此隔離,以免交叉污染。基材支撐件206、210(參見第3圖)置於各室中。如圖所示,二上方室220、222位在開口116內,而二下方室224、226位在下狹閥門102後方。上方室220、222可與下方室224、226分別獨立操作,如此上方室220、222不受下方室224、226的任何動作影響。另外,各個室可與負載鎖定室100中的其他室分別獨立操作(即打開/關閉、減壓與排空),如此各個室將不受負載鎖定室100中其他室的任何動作影響。負載鎖定室100具有4個環境隔離室而可減少各個室的內部體積,進而縮短排空時間,並只需要較小且較便宜的真空幫浦。
因每一室220、222、224、226配有環境彼此隔開的腔室體積,故可使基材連續移動進出。自往內基材排出的氣體將不會接觸向外基材。環境隔離室的體積可各自調整以達往內與向外基材的最大基材產量。另外,由於往內處理室係與向外處理室隔離,因此向外晶圓的污染或交互干擾亦可與往內晶圓隔離。
在一實施例中,二下方室224、226是用於進入的(未處理)基材,上方室220、222則是用於離去的基材(已經系統中經過處理)。同一時間可放入二個基材到下方室224、226。換言之,可同時分別放入單一基材到各下方室224、226。基材接著傳出負載鎖定室100並進入處理系統以進行基材之處理。完成所有處理程序後,基材經由負載鎖定室100的上方室220、222而離開系統。已處理和未處理之基材也可移動經過室體218的其他室之組合。
狹閥門102橫向延伸越過負載鎖定室100的工作介面側,且分別覆蓋二水平且貫穿室體218的室開口116。單一狹閥門102是用來密封二上方室220、222,且單一狹閥門102則用來密封二下方室224、226。啟動器106打開狹閥門102,以透過室開口116露出室內部。啟動器106位於多層式負載鎖定室100的頂面,用以操作選擇性密封第一室220與第二室222的狹閥門102。第二啟動器106位於多層式負載鎖定室100的底部,用以操作選擇性密封第三室224與第四室226的狹閥門102。啟動器106運作使狹閥門102反向打開。狹閥啟動器106各自獨立操作,藉此,下方啟動器106可打開或關閉下方狹閥門102,而與上方啟動器106無關。上方室220、222的狹閥門102係朝上開啟,下方室224、226的狹閥門102則朝下開啟。
二上方室220、222共用工作介面側的單一狹閥門102,而非一上方室與一下方室共用單一狹閥門102的好處在於:上方室220、222可一起運作而提高基材產量。同樣地,下方室224、226可一起運作以進一步提高基材產量。傳送機械臂(將說明於下)是用來同時進入具有高度相仿之基材支撐件(例如置於同一平面)的二室。若一上方室和一下方室實質上同時執行實質類似的功能(即一起運作),則將基材傳送到位於同一平面的處理室將變得相當困難且沒有效率。
利用流經負載鎖定室的熱交換流體可有效冷卻負載鎖定室100中的上方室220、222,且當室減壓成大氣壓時,可將上方室220、222內之基材的熱量取出。基材可另行或選擇性地以冷卻板進行冷卻。冷卻板包括複數個支座絕緣銷,用以保持基材與基材支撐件的相隔關係而避免可能的背面污染,並維持基材與基材支撐件的間距而增進均勻的輻射冷卻效果。在一實施例中,間距可為約0.05英吋至約0.025英吋。在另一實施例中,間距可為約0.010英吋至約0.020英吋。在又一實施例中,間距可為約0.015英吋。間距可隔開基材與冷卻板,故可避免可能的背面污染,還可有效冷卻基材。
負載鎖定室100中的各個室具有獨立操作的基材支撐件206、210及對應的升舉物件。下方室224、226的升舉物件為一系列的升舉銷208。上方室的升舉物件為具支座絕緣銷的環箍(hoop)204。主體218中的各個室具有環境與負載鎖定室100之其他室隔離的體積和處理環境。上方室220、222和下方室224、226的上蓋306(參見第4圖)、104(參見第2圖)可具透射性、或具有傳遞度量衡訊號的區域,以於處理過程進行度量。具透射性的上蓋104、306可使感測器監測不同的條件及基材與其上膜層的參數。在一實施例中,上蓋104、306具透射性。在另一實施例中,上蓋104、306為傳導石英。
藉由打開各上方室220、222和下方室224、226的上蓋306、104而可輕易進行負載鎖定室100的維護。下方室224、226的上蓋104係樞接至負載鎖定室100。下方室224、226的樞接上蓋104可讓技師在移開最少的硬體情況下維修下方室224、226。上蓋104係裁剪一角度(參見第2圖),使基材支撐柱114的旋轉不受室體218阻礙。當上蓋104旋轉180度時,技師可不費力且有效率地維修下方室224、226的所有組件。利用連接負載鎖定室100的上蓋鎖固機制110而可鎖緊下方室224、226的上蓋104與負載鎖定室100。在一實施例中,上蓋鎖固機制110螺旋接合於負載鎖定室100,且可鉗緊上蓋104與室體218。下方室224、226的上蓋104以絞練118為中心轉動(參見第2圖)。第4圖繪示附接於接點216的上蓋306(參見第3圖),其中上蓋306具有把手304讓技師輕鬆握住上蓋306及移開它。上蓋306具有一平坦表面。進液管108指引上蓋306至室。用於密封上蓋306與負載鎖定室100的固定通孔係繞著進液管108旋轉。將上蓋306封閉至負載鎖定室100時,接點216可做為控制上蓋306重量的支點。
將負載鎖定室100的公連接器302、308插入傳送腔的母連接器406、408(參見第5圖),則可接合負載鎖定室100與傳送腔400(參見第5圖)。負載鎖定室室體218包括公連接器302,其圍繞負載鎖定室100中上方室220、222和下方室224、226的開口。圓形公連接器308係自室體218延伸並插入傳送腔400,以定位負載鎖定室100與傳送腔400的相對位置。密封件(未繪示)係設置於負載鎖定室100與傳送腔400之間,以防止真空外洩。
在一操作模式中,機械臂延伸進入下方室224、226,以將基材放置到對應的基材支撐件210上。基材支撐柱114內的加熱流體可流至下方室224、226。當基材準備傳出負載鎖定室100且傳入傳送腔400時,升舉銷啟動器112將舉起升舉銷208(參見第3圖),並將基材抬離基材支撐件210。接著降低下方室的壓力以穩合傳送腔400的壓力。此時,基材可經歷預處理製程,例如預熱或冷卻。基材是否需經歷預處理製程乃視系統所執行的初始基材製程而定。例如在某些應用中,若預先加熱基材可更快速且有效地進行初始製程。
基材可由基材支撐件210中的加熱元件212(參見第3圖)進行加熱。加熱供應器214(參見第3圖)可提供適當的加熱手段,例如加熱道的加熱流體、或供給加熱元件212電力的電導線。加熱供應器214從基材支撐柱114進料。在一實施例中,負載鎖定室100加熱下方室224、226中的基材及冷卻上方室220、222中的基材。
降低下方室224、226壓力及完成選擇性預處理製程後,打開傳送腔側的狹閥門502(參見第6圖)。接著,傳送腔機械臂600(參見第7圖)進入下方室224、226,並自下方室224、226的升舉銷208移除基材。傳送腔機械臂600將基材從下方室224、226移到處理室16以進行處理,然後將基材移回上方室220、222。當於上方室220、222接收基材時,機械臂600係將基材放到上方室220、222中的環箍204(參見第3圖)上。放置到環箍204後,環箍啟動器202(參見第3圖)可降下基材至基材支撐件206。當基材準備好離開系統時,上方啟動器106打開狹閥門102使上方室220、222暴露於工作介面。環箍啟動器202抬高環箍204上的基材。移開基材後,啟動器106關閉上方室220、222的狹閥門102。
接著利用進液管108使上方室220、222的壓力回復成工作介面的壓力。此時,基材可經歷後處理製程,例如熱處理或冷卻。例如在某些狀況下(如旋塗至玻璃上的製程),薄的液態玻璃塗層乃藉由加熱基材支撐件206而加熱固化。或者當基材退出處理室而仍舊處於高溫時,可在將基材傳回經過工作介面前,先藉由冷卻基材支撐件206而降低基材溫度。當提高上方室220、222壓力至大氣壓及完成選擇性後處理製程後,工作介面的機械臂可將已處理之基材移出上方室。
傳送腔
第5圖為根據本發明一實施例之傳送腔的示意圖。傳送腔400的主體包括中央腔體412。主體具有多達6個供中央腔體412接合處理室的開口404。二開口402設置在第一側邊,用以接合負載鎖定室100。傳送腔400具有母連接器406、408,用以接合負載鎖定室100的公連接器302、308。公連接器302與母連接器406緊配,而公連接器308與母連接器408連接。開口410設置在主體下部供傳送腔機械臂600使用。
第6圖為根據本發明一實施例之傳送腔的示意圖,在此接合負載鎖定室。用於密封負載鎖定室100之傳送腔側的狹閥門502設置在傳送腔400上。狹閥門502分別設於負載鎖定室100的各個室220、222、224、226。在此有二個上方狹閥門502與二個下方狹閥門502。二個上方狹閥門502係對應負載鎖定室100的二個上方室220、222,二個下方狹閥門502則對應負載鎖定室100的二個下方室224、226。每一狹閥門502經由啟動器連接件504而連接至狹閥門啟動器506。在一實施例中,狹閥門啟動器506為氣動式且具有供應啟動器氣流的管道。風箱(未繪示)可圍繞氣體管道並防止氣流洩漏到系統內。
傳送腔400具有由機械臂512(參見第6圖)而樞軸轉開的上蓋510(參見第6圖),以依需求維護傳送腔400。上蓋510可為或具有透射區域(如石英窗),以進行度量。橫越傳送腔400上表面為裝設直通光束局部集中探測器508,用以偵測系統中不同平面的基材。由於基材可經由負載鎖定室100的下方室224、226進入傳送腔400,且可經由負載鎖定室100的上方室220、222離開傳送腔400,因此基材將在傳送腔400內沿著多個平面移動。直通光束局部集中探測器508可用來追蹤傳送腔400內沿著多個平面移動的基材。
傳送腔機械臂
第7-9圖繪示本發明一實施例的傳送腔機械臂600。傳送腔機械臂600具有多個臂手608、616。傳送腔機械臂600可進入負載鎖定室100的下方室224、226和上方室220、222。機械臂600的臂手608、616可沿著多個平面移動。機械臂600可沿著X-Y平面轉動及延伸臂手608、616。機械臂600還可沿著Z平面上下移動,如此可自下方室224、226抬起基材並放進處理室。另外,機械臂600也可沿著Z平面移動而將基材放到不同高度的處理室中。例如,機械臂600可進入彼此堆疊的處理室。
在一實施例中,採用雙層處理室。雙層處理室為2個垂直堆疊的處理室,其共用系統中相同的佔地面積(footprint)。處理室各具有環境與雙層配置之其他處理室隔離的處理室體積。處理室可共用同一幫浦和氣體面板,藉以減少成本;或者,處理室可各自具有獨立的幫浦和氣體面板。另外,處理室可加以調整來執行不同的功能。處理室可為鏡像配置,使得雙層處理室之一處理室為另一處理室的倒置物。若處理系統的處理室全改成雙層處理室,則基材產量可加倍增加。
機械臂600的臂手608、616分別包括下轉動臂602、610和上轉動臂604、612。臂手608、616各具有二平坦葉片618、620。故臂手608、616分別可托住二基材。每一葉片618、620可托住一基材。在一實施例中,葉片618、620可具有袋狀區。在第8圖的實施例中,因葉片618、620是平坦的,故無構成袋狀區。葉片618、620平靠著基材622的背面。基材622放置在葉片618、620上,因此葉片618、620不會刮傷基材622的邊緣。無袋狀區的葉片618、620不會接觸到基材邊緣。
如第9圖所示,機械臂600可往外伸展,因此轉動臂602、610分別可順著傳送腔400而延伸葉片618、620至不同位置的處理室。隨著轉動臂602、610進入傳送腔400中同側或不同側的處理室,轉動臂602、610可進入處理室或負載鎖定室100。下轉動臂602、610和上轉動臂604、612可各自伸展,因此上臂手616和下臂手608可獨自延伸、不互相干擾。為了延伸臂手608、616,乃轉動上轉動臂604、612和下轉動臂602、610。機械臂600可一起沿著Z方向移動,使得傳送腔機械臂600整體沿著Z方向移動。
由於負載鎖定室100具有4個環境隔離室,同時可傳送多達4個基材通過負載鎖定室100,因此可大幅提高基材產量。另外,採用能沿著多個平面移動的雙臂機械臂可同時傳送多達4個基材,因此可增加基材產量。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
10...處理系統
16...處理室
18...基材
100...負載鎖定室
102...狹閥門
104...上蓋
106、112...啟動器
108...進液管
110...鎖固機制
114...支撐柱
116...開口
118...絞練
202...啟動器
204...環箍
206、210...支撐件
208...升舉銷
212...加熱元件
214...加熱供應器
216...接點
218...室體
220、222、224、226...室
302、308...連接器
304...把手
306...上蓋
400...傳送腔
402、404、410...開口
406、408...連接器
412...腔體
502...狹閥門
504...連接件
506...啟動器
508...探測器
510...上蓋
512...機械臂
600...機械臂
602、604、610、612...轉動臂
608、616...臂手
618、620...葉片
622...基材
為讓本發明之上述特徵更明顯易懂,可配合參考實施例說明,其部分乃繪示如附圖式。須注意的是,雖然所附圖式揭露本發明特定實施例,但其並非用以限定本發明之精神與範圍,任何熟習此技藝者,當可作各種之更動與潤飾而得等效實施例。
第1圖為設備的平面圖,包含負載鎖定室、具機械組件於其內之傳送腔、和處理室。
第2圖為本發明負載鎖定室之工作介面側的正視圖。
第3圖為本發明負載鎖定室的截面圖。
第4圖為本發明負載鎖定室的上視圖。
第5圖為本發明傳送腔的示意圖。
第6圖為傳送腔的示意圖,在此接合負載鎖定室。
第7圖為本發明傳送機械臂的示意圖。
第8圖為本發明傳送機械臂的底部示意圖。
第9圖為本發明傳送機械臂的示意圖。
為便於了解,圖式中相同的元件符號表示相同的元件。某一實施例採用的元件當不需特別詳述而可應用到其他實施例。
100...負載鎖定室
102...狹閥門
104...上蓋
106、112...啟動器
108...進液管
110...鎖固機制
114...支撐柱
116...開口
118...絞練
215...室體
220、222...室

Claims (18)

  1. 一種負載鎖定室,其至少包含:一單一室體;一第一室與一第二室,以一側向分隔關係形成在該室體中;一第三室與一第四室,以一側向分隔關係形成在該室體中,且位在該第一室與該第二室下方,該第一室、該第二室、該第三室、和該第四室中之每一者係彼此為環境分隔且各個室具有一室開口;一上方狹單一閥門,用以密封該第一室與該第二室的該些室開口;一下方狹單一閥門,用以密封該第三室與該第四室的該些室開口;以及一基材支撐件,分別位於各個室中。
  2. 如申請專利範圍第1項所述之負載鎖定室,更包含:一傳送腔,耦接至該室體,其中該傳送腔包含一具有一中央腔體的傳送主體;以及一機械組件,係設置於該中央腔體內。
  3. 如申請專利範圍第1項所述之負載鎖定室,更包含:一第一啟動器,係耦接至該上方狹閥門,其中該第一啟動器係使該上方狹閥門移動於一較低關閉位置及一較高開 啟位置之間;以及一第二啟動器,係耦接至該下方狹閥門,其中該第二啟動器係使該下方狹閥門移動於一較高關閉位置及一較低開啟位置之間。
  4. 如申請專利範圍第1或2項所述之負載鎖定室,其中該基材支撐件更包含:一加熱器元件。
  5. 如申請專利範圍第1或2項所述之負載鎖定室,更包含:一第一上蓋,係耦接至該室體,且選擇性密封該第一室;以及一第二上蓋,係耦接至該室體,且選擇性密封該第二室。
  6. 如申請專利範圍第5項所述之負載鎖定室,更包含:一第三上蓋,係耦接至該室體,且選擇性密封該第三室;以及一第四上蓋,係耦接至該室體,且選擇性密封該第四室。
  7. 如申請專利範圍第6項所述之負載鎖定室,其中該第三上蓋和該第四上蓋係樞接至一絞練(hinge),以自一底部進入該第三室與該第四室。
  8. 如申請專利範圍第1或2項所述之負載鎖定室,更包含:複數個升舉銷,係設置於該第三室和該第四室中,其中該些升舉銷可在一較低位置與一較高位置之間移動;以及一基材升舉環箍(hoop),係設置於該第一室和該第二室中,其中在該第一室和該第二室中的該基材升舉環箍可在一較低位置與一較高位置之間移動。
  9. 如申請專利範圍第2項所述之負載鎖定室,其中該傳送腔更包含:一負載鎖定室介面,具有貫穿該傳送主體而至該中央腔體的二開口;以及二對狹閥門,係耦接至該主體,其中各對狹閥門分別設置於該負載鎖定室介面的一對應開口。
  10. 如申請專利範圍第2項所述之負載鎖定室,其中該機械組件至少包含:至少一臂手,其中該至少一臂手包含二基材接收延伸部,且各個該些基材接收延伸部係能夠接收一基材;以及至少一基材接收葉片,係與各個該臂手耦接,其中該葉片係設置以接收一基材,使得該基材平放在該葉片上,且該葉片並未包覆在該基材之周圍。
  11. 如申請專利範圍第10項所述之負載鎖定室,其中該機 械組件包含二臂手。
  12. 如申請專利範圍第11項所述之負載鎖定室,其中該些二臂手位於不同的平面,且各個該臂手相對於另一該臂手為獨立地可移動。
  13. 一種設備,至少包含:一負載鎖定室,其中該負載鎖定包含:一單一室體;一第一室與一第二室,以一側向分隔關係形成在該室體中;一第三室與一第四室,以一側向分隔關係形成在該室體中,且位在該第一室與該第二室下方,該第一室、該第二室、該第三室、和該第四室係彼此為環境分隔,且各個室具有一室開口;一上單一狹閥門,用來密封該第一室與該第二室的該些室開口;一下單一狹閥門,用來密封該第三室與該第四室的該些室開口;以及一基材支撐件,分別位於各個室中;一傳送腔,耦接至該室體,其中該傳送腔包含一具有一中央腔體的傳送主體;以及一機械組件,係設置於該中央腔體內。
  14. 如申請專利範圍第13項所述之設備,更包含:一第一上蓋,係耦接至該室體,且選擇性密封該第一室;以及一第二上蓋,係耦接至該室體,且選擇性密封該第二室。
  15. 如申請專利範圍第13項所述之設備,更包含:複數個升舉銷,係設置於該第三室和該第四室中,其中該些升舉銷可在一較低位置與一較高位置之間移動;以及一基材升舉環箍,係設置於該第一室和該第二室中,其中在該第一室和該第二室中的該基材升舉環箍可在一較低位置與一較高位置之間移動。
  16. 如申請專利範圍第13項所述之設備,其中該傳送腔更包含:一負載鎖定室介面,具有貫穿該傳送主體而至該中央腔體的二開口;以及二對狹閥門,係耦接至該主體,其中各對狹閥門分別設置於該負載鎖定室介面的一對應開口,且各個狹閥門選擇性地密封該第一室、該第二室、該第三室、及該第四室中的其中一者。
  17. 如申請專利範圍第13項所述之設備,更包含一蓋,係耦接至該傳送主體並且覆蓋該中央腔體,其中該蓋係樞接至該傳送腔。
  18. 如申請專利範圍第17項所述之設備,其中該蓋的至少一部分係不受阻礙。
TW096121412A 2006-06-15 2007-06-13 多層式負戴鎖定室與傳送腔及適於接合上述的機械臂 TWI455225B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/424,461 US7695232B2 (en) 2006-06-15 2006-06-15 Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same

Publications (2)

Publication Number Publication Date
TW200807608A TW200807608A (en) 2008-02-01
TWI455225B true TWI455225B (zh) 2014-10-01

Family

ID=38832647

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096121412A TWI455225B (zh) 2006-06-15 2007-06-13 多層式負戴鎖定室與傳送腔及適於接合上述的機械臂

Country Status (6)

Country Link
US (2) US7695232B2 (zh)
JP (2) JP2009540613A (zh)
KR (1) KR20090007367A (zh)
CN (1) CN101432856B (zh)
TW (1) TWI455225B (zh)
WO (1) WO2007146643A2 (zh)

Families Citing this family (30)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011512020A (ja) * 2007-12-20 2011-04-14 アプライド マテリアルズ インコーポレイテッド 移送モジュール上の1つの単独ファセットを使用する互い違いの二重プロセスチャンバー
KR100941934B1 (ko) * 2007-12-28 2010-02-11 주식회사 아토 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버
US20110188974A1 (en) * 2008-07-15 2011-08-04 Ulvac Technologies, Inc. Work-piece transfer systems and methods
KR101781808B1 (ko) 2009-01-11 2017-10-23 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시에 기판을 이송하기 위한 로봇 시스템, 장치 및 방법
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
JP2011077399A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 被処理体の搬送方法及び被処理体処理装置
KR101744372B1 (ko) * 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
CN103917337B (zh) * 2011-09-16 2017-12-15 柿子技术公司 低多变性机器人
CN102420161A (zh) * 2011-11-23 2012-04-18 北京七星华创电子股份有限公司 一种运送晶圆状物件的装置及方法
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9033644B2 (en) 2012-07-05 2015-05-19 Applied Materials, Inc. Boom drive apparatus, multi-arm robot apparatus, electronic device processing systems, and methods for transporting substrates in electronic device manufacturing systems with web extending from hub
TWM476362U (en) 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
KR20160034378A (ko) * 2013-07-24 2016-03-29 어플라이드 머티어리얼스, 인코포레이티드 코발트 기판 프로세싱 시스템들, 장치들, 및 방법들
CN104445981A (zh) * 2014-11-10 2015-03-25 芜湖真空科技有限公司 镀膜装置
US10879177B2 (en) * 2015-06-19 2020-12-29 Applied Materials, Inc. PVD deposition and anneal of multi-layer metal-dielectric film
DE202016104588U1 (de) * 2015-09-03 2016-11-30 Veeco Instruments Inc. Mehrkammersystem für chemische Gasphasenabscheidung
JP6285411B2 (ja) * 2015-12-25 2018-02-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
CN105789091B (zh) * 2016-03-16 2020-04-24 沈阳拓荆科技有限公司 负载腔室及其使用该负载腔室之多腔室处理系统
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
KR102400603B1 (ko) * 2019-03-29 2022-05-19 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US20230113673A1 (en) * 2021-10-12 2023-04-13 Applied Materials, Inc. Factory interface robots usable with integrated load locks

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074443A (en) * 1996-10-21 2000-06-13 Applied Materials, Inc. Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot
US6647665B1 (en) * 1997-11-28 2003-11-18 Mattson Technology, Inc. Door systems for low contamination, high throughput handling of workpieces for vacuum processing
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20040137741A1 (en) * 2001-03-30 2004-07-15 Robert Chebi Methods for reducing contamination of semiconductor substrates
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20060014397A1 (en) * 2004-07-13 2006-01-19 Seamons Martin J Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4047624A (en) * 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
JPH10233423A (ja) * 1997-02-21 1998-09-02 Nikon Corp ロードロック式真空処理装置
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6340406B1 (en) * 1999-03-24 2002-01-22 Moore North America, Inc. Simple pressure seal units
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
KR20010002112A (ko) 1999-06-11 2001-01-05 윤종용 에칭설비의 공정챔버
JP4392811B2 (ja) 1999-07-29 2010-01-06 三井造船株式会社 基板のプロセス処理システム
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US20020196201A1 (en) * 2000-06-30 2002-12-26 Rosen Products Llc. Vehicular display system
US6553280B2 (en) * 2000-07-07 2003-04-22 Applied Materials, Inc. Valve/sensor assemblies
TW512421B (en) * 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
US6506994B2 (en) * 2001-06-15 2003-01-14 Applied Materials, Inc. Low profile thick film heaters in multi-slot bake chamber
US20020195201A1 (en) * 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US20030075915A1 (en) * 2001-10-24 2003-04-24 S.Y. Kim Slide hatch for bulk carrier
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US6827128B2 (en) * 2002-05-20 2004-12-07 The Board Of Trustees Of The University Of Illinois Flexible microchannel heat exchanger
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
JP3970184B2 (ja) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 処理装置
US6896387B2 (en) * 2003-05-02 2005-05-24 Gregg A. Renfro Courtesy illumination disk for a cup holder
US6766596B1 (en) * 2003-07-14 2004-07-27 Gemtron Corporation Appliance door or lid
JP4285642B2 (ja) * 2003-08-12 2009-06-24 東京エレクトロン株式会社 真空容器
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
KR101071817B1 (ko) * 2004-04-19 2011-10-11 엘지전자 주식회사 건조겸용 드럼세탁기
US7282097B2 (en) * 2004-06-14 2007-10-16 Applied Materials, Inc. Slit valve door seal
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6074443A (en) * 1996-10-21 2000-06-13 Applied Materials, Inc. Method and apparatus for scheduling wafer processing within a multiple chamber semiconductor wafer processing tool having a multiple blade robot
US6647665B1 (en) * 1997-11-28 2003-11-18 Mattson Technology, Inc. Door systems for low contamination, high throughput handling of workpieces for vacuum processing
US20040137741A1 (en) * 2001-03-30 2004-07-15 Robert Chebi Methods for reducing contamination of semiconductor substrates
US20030213560A1 (en) * 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20060014397A1 (en) * 2004-07-13 2006-01-19 Seamons Martin J Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon

Also Published As

Publication number Publication date
KR20090007367A (ko) 2009-01-16
CN101432856B (zh) 2012-05-30
US7997851B2 (en) 2011-08-16
WO2007146643A3 (en) 2008-10-23
CN101432856A (zh) 2009-05-13
JP2013141015A (ja) 2013-07-18
US7695232B2 (en) 2010-04-13
TW200807608A (en) 2008-02-01
JP2009540613A (ja) 2009-11-19
WO2007146643A2 (en) 2007-12-21
US20070292244A1 (en) 2007-12-20
US20090092466A1 (en) 2009-04-09

Similar Documents

Publication Publication Date Title
TWI455225B (zh) 多層式負戴鎖定室與傳送腔及適於接合上述的機械臂
CN110062818B (zh) 用于半导体处理的晶片定位基座
US10490427B2 (en) Apparatus for treating substrate
US6969227B2 (en) Wafer transport apparatus
KR102461066B1 (ko) 반도체 프로세싱용 웨이퍼 포지셔닝 페데스탈의 패드 상승 메커니즘
SG180066A1 (en) Single side workpiece processing
CN102217055A (zh) 衬底处理方法及衬底处理装置
US20180294153A1 (en) Apparatus And Methods For Backside Passivation
US20220213594A1 (en) Process module, substrate processing system, and processing method
TW201834121A (zh) 環境可控的移送模組和處理系統
KR20220099900A (ko) 처리 모듈 및 처리 방법
US20220230896A1 (en) Substrate processing apparatus
TW559571B (en) Apparatus and method for processing a workpiece
JP2022112466A (ja) 基板処理装置