CN101432856A - 多层式负载锁定室、传送室及适于接合上述两者的机械臂 - Google Patents

多层式负载锁定室、传送室及适于接合上述两者的机械臂 Download PDF

Info

Publication number
CN101432856A
CN101432856A CNA2007800151870A CN200780015187A CN101432856A CN 101432856 A CN101432856 A CN 101432856A CN A2007800151870 A CNA2007800151870 A CN A2007800151870A CN 200780015187 A CN200780015187 A CN 200780015187A CN 101432856 A CN101432856 A CN 101432856A
Authority
CN
China
Prior art keywords
room
chamber
substrate
load locking
substrates
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800151870A
Other languages
English (en)
Other versions
CN101432856B (zh
Inventor
R·B·摩尔
E·鲁兰
S·桑德
M·D·塞法堤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101432856A publication Critical patent/CN101432856A/zh
Application granted granted Critical
Publication of CN101432856B publication Critical patent/CN101432856B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49826Assembling or joining

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本发明系揭露一种用以处理基板的新式设备。具有4个环境隔离室的多层式负载锁定室系与具有机械组件的传送室接合。机械组件具有二个臂手,当机械组件顺着其轴转动时,各个臂手可水平地移动。臂手可延伸到负载锁定室的隔离室中而自下方隔离室接收基板、传送基板至处理室、接着将基板放置到上方隔离室。负载锁定室中的隔离室可具有枢接上盖,其可打开以进入隔离室内部。

Description

多层式负载锁定室、传送室及适于接合上述两者的机械臂
技术领域
本发明的实施例大体上是关于在环境控制氛围下连续执行多次基板处理的设备。
背景技术
基板产量一直是半导体处理的挑战。技术要精进,需持续地有效处理半导体基板。群集工具(cluster tool)已发展做为同时处理多个基板且不破真空状态的有效手段。不像因处理单一基板后将基板传送到另一处理室而接触大气,多个处理室可连接至共通的传送室,如此当于一处理室中处理完基板后,仍可在真空状态下将基板移到另一连接同一传送室的处理室。
群集工具的另一好处为,可在群集工具的不同处理室中同时处理多个基板。当一基板离开处理室并传送到另一处理室后,则可将第二个基板置入第一处理室中。因此,可在群集工具内进行连续处理来同时处理不同基板。
为进入传送室,基板先经过负载锁定室(load lock chamber)。负载锁定室可于处理基板前加热基板。完成群集工具中所有的基板处理程序后,基板传回到负载锁定室,且可以由此离开群集工具系统。
因此,群集工具极有利于提高基板产量。当然也不断地期望能提高基板产量。故此技艺需要提高用以连续进行多个基板处理的设备的基板产量。
发明内容
本发明大致上包含一多层式负载锁定室、一传送室及一适于接合上述两者的机械臂。多层式负载锁定室具有4个环境隔离室,且可接合容纳有机械组件的传送室。机械组件具有二个臂手,当机械组件顺着其轴转动时,臂手可独立地水平与垂直移动。机械臂系设置以利用各自臂手而进入负载锁定室的上方室及下方室。
在一实施例中,揭露一负载锁定室。负载锁定室包括单一室体,其内具有第一、第二、第三、和第四环境隔离室。第一与第二室为侧向分隔设置。第三与第四室为侧向分隔设置,且位于第一与第二室下方。各环境隔离室内具有一基板支撑件。
在另一实施例中,揭露一传送室。传送室包含一主体,其具有负载锁定室接口与中央腔体。机械组件系设置于腔体中。多个狭缝阀门透过负载锁定室接口中的开口耦接至传送室主体。
在又一实施例中,揭露一包含二个臂手的机械组件。臂手可水平与垂直地移动。每一臂手包含二基板接收延伸部。各基板接收延伸部可接收一个基板。
在再一实施例中,揭露一包含负载锁定室、传送室及设置于传送室内的机械组件的设备。负载锁定室包括单一室体,其内具有第一、第二、第三、和第四环境隔离室。第一与第二室为侧向分隔设置。第三与第四室为侧向分隔设置,且位于第一与第二室下方。各环境隔离室内具有一基板支撑件。
附图说明
为让本发明的上述特征更明显易懂,可配合参考实施例说明,其部分乃绘示如附图式。须注意的是,虽然所附图式揭露本发明特定实施例,但其并非用以限定本发明的精神与范围,任何熟习此技艺者,当可作各种的更动与润饰而得等效实施例。
第1图为设备的平面图,包含负载锁定室、具机械组件于其内的传送室、和处理室。
第2图为本发明负载锁定室的工作接口侧的正视图。
第3图为本发明负载锁定室的截面图。
第4图为本发明负载锁定室的上视图。
第5图为本发明传送室的示意图。
第6图为传送室的示意图,在此接合负载锁定室。
第7图为本发明传送机械臂的示意图。
第8图为本发明传送机械臂的底部示意图。
第9图为本发明传送机械臂的示意图。
为便于了解,图式中相同的组件符号表示相同的组件。某一实施例采用的组件当不需特别详述而可应用到其它实施例。
具体实施方式
本发明大致上包含具多层式负载锁定室与传送室的处理设备。多层式负载锁定室具有4个环境隔离室并接合具机械组件的传送室。在一些实施例中,机械组件包括二个臂手,当机械组件顺着其轴转动时,各臂手可水平地移动。臂手可延伸到负载锁定室的下隔离室来接收基板、传送基板到处理室、接着放置基板到上隔离室。负载锁定室中的各个隔离室包括上盖,其可打开以进入隔离室内部。本发明的实施例可做为群集工具中的传送室、负载锁定室、及/或传送机械臂,该群集工具例如美国加州圣克拉拉的应用材料公司(Applied Materials,Inc.)制造的
Figure A200780015187D0008181953QIETU
 SE处理系统。本发明亦可应用至其它处理室、机械装置、和群集工具,亦包括其它制造商供应的这些设备。
第1图为群集工具或处理系统10的一实施例的平面图,包含多层式负载锁定室100、耦接负载锁定室100的传送室400、和多个处理室16。传送室机械臂600位于传送室400的中央腔体412中。负载锁定室100具有工作接口侧,基板18将由此带进负载锁定室100而开始进行处理,负载锁定室100亦具有传送室侧,基板18经处理后将自负载锁定室100离开至此。传送室机械臂600适于在处理室16与负载锁定室100间传送基板。
负载锁定室
第2-4图绘示根据本发明实施例的负载锁定室的不同角度视图。第2图为根据本发明实施例的多层式负载锁定室100的工作接口侧的正视图。第3图为负载锁定室的截面图。第4图为负载锁定室的上视图。参照第2图,负载锁定室100包括狭缝阀门102、处理室进入上盖104、狭缝阀门启动器106、进液管108、上盖锁固机制110、基板升举销启动器112、基板支撑柱114、和处理室开口116。多层式负载锁定室100可连续传送基板进出系统。进液管108可供应氮气。
负载锁定室100具有单一室体218。室体218内的第一室220与第二室222为侧向分隔设置。室体218内的第三室224(参见第3图)与第四室226(参见第3图)为侧向分隔设置,并位于第一室220与第二室222下方。第一室220、第二室222、第三室224、和第四室226的环境乃彼此隔离,以免交叉污染。基板支撑件206、210(参见第3图)置于各室中。如图所示,二上方室220、222位在开口116内,而二下方室224、226位在下狭缝阀门102后方。上方室220、222可与下方室224、226分别独立操作,如此上方室220、222不受下方室224、226的任何动作影响。另外,各个室可与负载锁定室100中的其它室分别独立操作(即打开/关闭、减压与排空),如此各个室将不受负载锁定室100中其它室的任何动作影响。负载锁定室100具有4个环境隔离室而可减少各个室的内部体积,进而缩短排空时间,并只需要较小且较便宜的真空泵。
因每一室220、222、224、226配有环境彼此隔开的腔室体积,故可使基板连续移动进出。自往内基板排出的气体将不会接触向外基板。环境隔离室的体积可各自调整以达往内与向外基板的最大基板产量。另外,由于往内处理室系与向外处理室隔离,因此向外晶片的污染或交互干扰亦可与往内晶片隔离。
在一实施例中,二个下方室224、226是用于进入的(未处理)基板,上方室220、222则是用于离去的基板(已经系统中经过处理)。同一时间可放入二个基板到下方室224、226。换言的,可同时分别放入单一基板到各下方室224、226。基板接着传出负载锁定室100并进入处理系统以进行基板的处理。完成所有处理程序后,基板经由负载锁定室100的上方室220、222而离开系统。已处理和未处理的基板也可移动经过室体218的其它室的组合。
狭缝阀门102横向延伸越过负载锁定室100的工作接口侧,且分别覆盖二个水平且贯穿室体218的室开口116。单一狭缝阀门102是用来密封二个上方室220、222,且单一狭缝阀门102则用来密封二个下方室224、226。启动器106打开狭缝阀门102,以透过室开口116露出室内部。启动器106位于多层式负载锁定室100的顶面,用以操作选择性密封第一室220与第二室222的狭缝阀门102。第二启动器106位于多层式负载锁定室100的底部,用以操作选择性密封第三室224与第四室226的狭缝阀门102。启动器106运作使狭缝阀门102反向打开。狭缝阀启动器106各自独立操作,藉此,下方启动器106可打开或关闭下方狭缝阀门102,而与上方启动器106无关。上方室220、222的狭缝阀门102系朝上开启,下方室224、226的狭缝阀门102则朝下开启。
二个上方室220、222共享工作接口侧的单一狭缝阀门102,而非一个上方室与一个下方室共享单一狭缝阀门102的好处在于:上方室220、222可一起运作而提高基板产量。同样地,下方室224、226可一起运作以进一步提高基板产量。传送机械臂(将说明于下)是用来同时进入具有高度相仿的基板支撑件(例如置于同一平面)的二室。若一个上方室和一个下方室实质上同时执行实质类似的功能(即一起运作),则将基板传送到位于同一平面的处理室将变得相当困难且没有效率。
利用流经负载锁定室的热交换流体可有效冷却负载锁定室100中的上方室220、222,且当室减压成大气压时,可将上方室220、222内的基板的热量取出。基板可另行或选择性地以冷却板进行冷却。冷却板包括多个支座绝缘销,用以保持基板与基板支撑件的相隔关系而避免可能的背面污染,并维持基板与基板支撑件的间距而增进均匀的辐射冷却效果。在一实施例中,间距可为约0.05英寸至约0.025英寸。在另一实施例中,间距可为约0.010英寸至约0.020英寸。在又一实施例中,间距可为约0.015英寸。间距可隔开基板与冷却板,故可避免可能的背面污染,还可有效冷却基板。
负载锁定室100中的各个室具有独立操作的基板支撑件206、210及对应的升举对象。下方室224、226的升举对象为一系列的升举销208。上方室的升举物件为具支座绝缘销的环箍(hoop)204。主体218中的各个室具有环境与负载锁定室100的其它室隔离的体积和处理环境。上方室220、222和下方室224、226的上盖306(参见第4图)、104(参见第2图)可具透射性、或具有传递度量衡讯号的区域,以于处理过程进行度量。具有透射性的上盖104、306可使传感器监测不同的条件及基板与其上膜层的参数。在一实施例中,上盖104、306具有透射性。在另一实施例中,上盖304、306为传导石英。
通过打开各上方室220、222和下方室224、226的上盖306、104而可轻易进行负载锁定室100的维护。下方室224、226的上盖104系枢接至负载锁定室100。下方室224、226的枢接上盖104可让技师在移开最少的硬件情况下维修下方室224、226。上盖104系裁剪一角度(参见第2图),使基板支撑柱114的旋转不受室体218阻碍。当上盖104旋转180度时,技师可不费力且有效率地维修下方室224、226的所有组件。利用连接负载锁定室100的上盖锁固机制110而可锁紧下方室224、226的上盖104与负载锁定室100。在一实施例中,上盖锁固机制110螺旋接合于负载锁定室100,且可钳紧上盖104与室体218。下方室224、226的上盖104以铰链(hinge)118为中心转动(参见第2图)。第4图绘示附接于接点216的上盖306(参见第2图),其中上盖306具有把手304让技师轻松握住上盖306及移开它。上盖306具有一平坦表面。进液管108指引上盖306至室。用于密封上盖306与负载锁定室100的固定通孔系绕着进液管108旋转。将上盖306封闭至负载锁定室100时,接点216可做为控制上盖306重量的支点。
将负载锁定室100的凸连接器302、308插入传送室的凹连接器406、408(参见第5图),则可接合负载锁定室100与传送室400(参见第5图)。负载锁定室室体218包括凸连接器302,其围绕负载锁定室100中上方室220、222和下方室224、226的开口。圆形凸连接器308系自室体218延伸并插入传送室400,以定位负载锁定室100与传送室400的相对位置。密封件(未绘示)系设置于负载锁定室100与传送室400之间,以防止真空外泄。
在一操作模式中,机械臂延伸进入下方室224、226,以将基板放置到对应的基板支撑件210上。基板支撑柱114内的加热流体可流至下方室224、226。当基板准备传出负载锁定室100且传入传送室400时,升举销启动器112将举起升举销208(参见第3图),并将基板抬离基板支撑件210。接着降低下方室的压力以稳合传送室400的压力。此时,基板可经历预处理,例如预热或冷却。基板是否需经历预处理乃视系统所执行的初始基板处理而定。例如在某些应用中,若预先加热基板可更快速且有效地进行初始处理。
基板可由基板支撑件210中的加热组件212(参见第3图)进行加热。加热供应器214(参见第3图)可提供适当的加热手段,例如加热道的加热流体、或供给加热组件212电力的电导线。加热供应器214从基板支撑柱114进料。在一实施例中,负载锁定室100加热下方室224、226中的基板及冷却上方室220、222中的基板。
降低下方室224、226压力及完成选择性预处理后,打开传送室侧的狭缝阀门502(参见第6图)。接着,传送室机械臂600(参见第7图)进入下方室224、226,并自下方室224、226的升举销208移除基板。传送室机械臂600将基板从下方室224、226移到处理室16以进行处理,然后将基板移回上方室220、222。当于上方室220、222接收基板时,机械臂600系将基板放到上方室220、222中的环箍204(参见第3图)上。放置到环箍204后,环箍启动器202(参见第3图)可降下基板至基板支撑件206。当基板准备好离开系统时,上方启动器106打开狭缝阀门102使上方室220、222暴露于工作接口。环箍启动器202抬高环箍204上的基板。移开基板后,启动器106关闭上方室220、222的狭缝阀门102。
接着利用进液管108使上方室220、222的压力回复成工作接口的压力。此时,基板可经历后处理,例如热处理或冷却。例如在某些状况下(如旋涂至玻璃上的处理),薄的液态玻璃涂层乃通过加热基板支撑件206而加热固化。或者当基板退出处理室而仍旧处于高温时,可在将基板传回经过工作接口前,先通过冷却基板支撑件206而降低基板温度。当提高上方室220、222压力至大气压及完成选择性后处理后,工作接口的机械臂可将已处理的基板移出上方室。
传送室
第5图为根据本发明一实施例的传送室的示意图。传送室400的主体包括中央腔体412。主体具有多达6个供中央腔体412接合处理室的开口404。二开口402设置在第一侧边,用以接合负载锁定室100。传送室400具有凹连接器406、408,用以接合负载锁定室100的凸连接器302、308。凸连接器302与凹连接器406紧配,而凸连接器308与凹连接器408连接。开口410设置在主体下部供传送室机械臂600使用。
第6图为根据本发明一实施例的传送室的示意图,在此接合负载锁定室。用于密封负载锁定室100的传送室侧的狭缝阀门502设置在传送室400上。狭缝阀门502分别设于负载锁定室100的各个室220、222、224、226。在此有二个上方狭缝阀门502与二个下方狭缝阀门502。二个上方狭缝阀门502系对应负载锁定室100的二个上方室220、222,二个下方狭缝阀门502则对应负载锁定室100的二个下方室224、226。每一狭缝阀门502经由启动器连接件504而连接至狭缝阀门启动器506。在一实施例中,狭缝阀门启动器506为气动式且具有供应启动器气流的管道。风箱(未绘示)可围绕气体管道并防止气流泄漏到系统内。
传送室400具有由机械臂512(参见第6图)而枢轴转开的上盖510(参见第6图),以依需求维护传送室400。上盖510可为或具有透射区域(如石英窗),以进行度量。横越传送室400上表面为装设直通光束局部集中探测器508,用以侦测系统中不同平面的基板。由于基板可经由负载锁定室100的下方室224、226进入传送室400,且可经由负载锁定室100的上方室220、222离开传送室400,因此基板将在传送室400内沿着多个平面移动。直通光束局部集中探测器508可用来追踪传送室400内沿着多个平面移动的基板。
传送室机械臂
第7-9图绘示本发明一实施例的传送室机械臂600。传送室机械臂600具有多个臂手608、616。传送室机械臂600可进入负载锁定室100的下方室224、226和上方室220、222。机械臂600的臂手608、616可沿着多个平面移动。机械臂600可沿着X-Y平面转动及延伸臂手608、616。机械臂600还可沿着Z平面上下移动,如此可自下方室224、226抬起基板并放进处理室。另外,机械臂600也可沿着Z平面移动而将基板放到不同高度的处理室中。例如,机械臂600可进入彼此堆栈的处理室。
在一实施例中,采用双层处理室。双层处理室为2个垂直堆栈的处理室,其共享系统中相同的占地面积(footprint)。处理室各具有环境与双层配置的其它处理室隔离的处理室体积。处理室可共享同一泵和气体面板,藉以减少成本;或者,处理室可各自具有独立的泵和气体面板。另外,处理室可加以调整来执行不同的功能。处理室可为镜像配置,使得双层处理室的一处理室为另一处理室的倒置物。若处理系统的处理室全改成双层处理室,则基板产量可加倍增加。
机械臂600的臂手608、616分别包括下转动臂602、610和上转动臂604、612。臂手608、616各具有二平坦叶片618、620。故臂手608、616分别可托住二基板。每一叶片618、620可托住一基板。在一实施例中,叶片618、620可具有袋状区。在第8图的实施例中,因叶片618、620是平坦的,故没有构成袋状区。叶片618、620平靠着基板622的背面。基板622放置在叶片618、620上,因此叶片618、620不会刮伤基板622的边缘。无袋状区的叶片618、620不会接触到基板边缘。
如第9图所示,机械臂600可往外伸展,因此转动臂602、610分别可顺着传送室400而延伸叶片618、620至不同位置的处理室。随着转动臂602、610进入传送室400中同侧或不同侧的处理室,转动臂602、610可进入处理室或负载锁定室100。下转动臂602、610和上转动臂604、612可各自伸展,因此上臂手616和下臂手608可独自延伸、不互相干扰。为了延伸臂手608、616,乃转动上转动臂604、612和下转动臂602、610。机械臂600可一起沿着Z方向移动,使得传送室机械臂600整体沿着Z方向移动。
由于负载锁定室100具有4个环境隔离室,同时可传送多达4个基板通过负载锁定室100,因此可大幅提高基板产量。另外,采用能沿着多个平面移动的双臂机械臂可同时传送多达4个基板,因此可增加基板产量。
虽然本发明已以较佳实施例揭露如上,然其并非用以限定本发明,任何熟习此技艺者,在不脱离本发明的精神和范围内,当可作各种的更动与润饰,因此本发明的保护范围当视后附的申请专利范围所界定者为准。

Claims (20)

1.一种负载锁定室,包含:
单一室体;
第一室与第二室,以侧向分隔关系形成在该室体中;
第三室与第四室,以侧向分隔关系形成在该室体中,且位在该第一室与该第二室下方,该第一室、该第二室、该第三室、和该第四室彼此环境地分隔;以及
基板支撑件,分别位于各个室中。
2.如权利要求1所述的负载锁定室,更包含:
传送室,耦接至该室体,其中该传送室包含一具有中央腔体的传送主体;以及
机械组件,设置于该中央腔体内。
3.如权利要求1或2所述的负载锁定室,更包含:
上方狭缝阀门,用于选择性密封该第一室与该第二室;以及
下方狭缝阀门,用于选择性密封该第三室与该第四室。
4.如权利要求3所述的负载锁定室,更包含:
启动器,耦接至该上方狭缝阀门,其中该启动器使该上方狭缝阀门在较低关闭位置及较高开启位置之间移动;以及
第二启动器,耦接至该下方狭缝阀门,其中该第二启动器使该下方狭缝阀门在较高关闭位置及较低开启位置之间移动。
5.如权利要求1或2所述的负载锁定室,其特征在于,该基板支撑件更包含:
加热器组件。
6.如权利要求1或2所述的负载锁定室,更包含:
第一上盖,耦接至该室体,且用于选择性密封该第一室;以及
第二上盖,耦接至该室体,且用于选择性密封该第二室。
7.如权利要求6所述的负载锁定室,更包含:
第三上盖,耦接至该室体,且用于选择性密封该第三室;以及
第四上盖,耦接至该室体,且用于选择性密封该第四室。
8.如权利要求7所述的负载锁定室,其特征在于,该第三上盖和该第四上盖枢接至一铰链,以自底部进入该第三室与该第四室。
9.如权利要求1或2所述的负载锁定室,更包含:
多个升举销,设置于该第三室和该第四室中,其中这些升举销可在一较低位置与一较高位置之间移动;以及
基板升举环箍,设置于该第一室和该第二室中,其中在该第一室和该第二室中的基板升举环箍可在一较低位置与一较高位置之间移动。
10.如权利要求2所述的设备,其特征在于,该传送室更包含:
负载锁定室接口,它具有贯穿该传送主体而至该中央腔体的二个开口;以及
二对狭缝阀门,耦接至该传送主体,其中每一对狭缝阀门分别设置于该负载锁定室接口的对应开口中。
11.一种传送室,包含:
主体,它具有一中央腔体和一负载锁定室接口,其中该接口包含二个贯穿该主体而至该中央腔体的开口;
二对狭缝阀门,耦接至该主体,其中每一对狭缝阀门分别设置于该负载锁定室接口的对应开口中;以及
机械组件,设置于该中央腔体内。
12.一种机械组件,包含:
至少一个臂手,其中该至少一个臂手包含二个基板接收延伸部,且各个基板接收延伸部能够接收一个基板;以及
至少一个基板接收叶片,与各个臂手耦接,其中该叶片被配置成接收一基板,使得该基板平放在该叶片上,且该叶片并未包覆在该基板的周围。
13.如权利要求12所述的机械组件,其特征在于,该机械组件包含二个臂手。
14.如权利要求13所述的机械组件,其特征在于,所述二个臂手位于不同的平面,且每个臂手的移动与另一臂手无关。
15.一种处理一基板的方法,包含:
将二个基板放置于一负载锁定室室体中,其中这些基板位于该负载锁定室室体中的第一室和第二室内,其中该第一室与该第二室为侧向分隔,且其中该负载锁定室室体耦接至一整合式处理工具;
将这些基板从该负载锁定室室体中移出,且将这些基板放置于该整合式处理工具中;
处理这些基板;以及
再次将这些基板放置到该负载锁定室室体中,其中这些基板位于该负载锁定室室体中的第三室和第四室内,其中该第三室与该第四室为侧向分隔且位于该第一室与该第二室的上方,且其中该第一室、该第二室、该第三室、和该第四室彼此环境地分隔。
16.如权利要求15所述的方法,其特征在于,将这些基板放置到该第一室和该第二室内的步骤包含:
打开一工作接口门以进入该第一室和该第二室,其中一共享门耦接至该第一室和该第二室,且其中该工作接口门位于该负载锁定室室体的第一侧边。
17.如权利要求16所述的方法,其特征在于,移出这些基板的步骤包含:
打开该第一室与该第二室的传送室门,其中各个室具有一独立的传送室门,且其中该传送室门位于该负载锁定室室体的侧边,该侧边与该第一侧边相对。
18.如权利要求15所述的方法,更包含:
监控这些基板在该第一室、该第二室、该第三室、和该第四室中的状况,其中上述的监控步骤包含通过一透射式腔室上盖来监控该状况。
19.如权利要求15所述的方法,更包含:
对于其它基板,重复上述的放置步骤、移出步骤、处理步骤、和再次放置步骤。
20.如权利要求19所述的方法,其特征在于,上述的针对其它基板的重复步骤是在上述的移出步骤之后才开始进行的。
CN2007800151870A 2006-06-15 2007-06-04 多层式负载锁定室、传送室及处理基板的方法 Active CN101432856B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/424,461 2006-06-15
US11/424,461 US7695232B2 (en) 2006-06-15 2006-06-15 Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same
PCT/US2007/070328 WO2007146643A2 (en) 2006-06-15 2007-06-04 Multi-level load lock chamber, transfer chamber, and robot suitable for interfacing with same

Publications (2)

Publication Number Publication Date
CN101432856A true CN101432856A (zh) 2009-05-13
CN101432856B CN101432856B (zh) 2012-05-30

Family

ID=38832647

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007800151870A Active CN101432856B (zh) 2006-06-15 2007-06-04 多层式负载锁定室、传送室及处理基板的方法

Country Status (6)

Country Link
US (2) US7695232B2 (zh)
JP (2) JP2009540613A (zh)
KR (1) KR20090007367A (zh)
CN (1) CN101432856B (zh)
TW (1) TWI455225B (zh)
WO (1) WO2007146643A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104445981A (zh) * 2014-11-10 2015-03-25 芜湖真空科技有限公司 镀膜装置
CN106498366A (zh) * 2015-09-03 2017-03-15 维易科仪器有限公司 多室化学气相沉积系统
CN106997859A (zh) * 2015-12-25 2017-08-01 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2011512020A (ja) * 2007-12-20 2011-04-14 アプライド マテリアルズ インコーポレイテッド 移送モジュール上の1つの単独ファセットを使用する互い違いの二重プロセスチャンバー
KR100941934B1 (ko) * 2007-12-28 2010-02-11 주식회사 아토 상하좌우 독립처리영역을 갖는 이중적재 구현 로드락 챔버
US20110188974A1 (en) * 2008-07-15 2011-08-04 Ulvac Technologies, Inc. Work-piece transfer systems and methods
KR101781808B1 (ko) 2009-01-11 2017-10-23 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조시에 기판을 이송하기 위한 로봇 시스템, 장치 및 방법
US8440048B2 (en) 2009-01-28 2013-05-14 Asm America, Inc. Load lock having secondary isolation chamber
JP2011077399A (ja) * 2009-09-30 2011-04-14 Tokyo Electron Ltd 被処理体の搬送方法及び被処理体処理装置
KR101744372B1 (ko) * 2011-01-20 2017-06-07 도쿄엘렉트론가부시키가이샤 진공 처리 장치
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
WO2012148568A1 (en) 2011-03-01 2012-11-01 Applied Materials, Inc. Method and apparatus for substrate transfer and radical confinement
CN103403852B (zh) 2011-03-01 2016-06-08 应用材料公司 双负载闸配置的消除及剥离处理腔室
CN103917337B (zh) * 2011-09-16 2017-12-15 柿子技术公司 低多变性机器人
CN102420161A (zh) * 2011-11-23 2012-04-18 北京七星华创电子股份有限公司 一种运送晶圆状物件的装置及方法
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9033644B2 (en) 2012-07-05 2015-05-19 Applied Materials, Inc. Boom drive apparatus, multi-arm robot apparatus, electronic device processing systems, and methods for transporting substrates in electronic device manufacturing systems with web extending from hub
TWM476362U (en) 2012-09-07 2014-04-11 Applied Materials Inc Load lock chamber with slit valve doors
US9355876B2 (en) * 2013-03-15 2016-05-31 Applied Materials, Inc. Process load lock apparatus, lift assemblies, electronic device processing systems, and methods of processing substrates in load lock locations
KR20160034378A (ko) * 2013-07-24 2016-03-29 어플라이드 머티어리얼스, 인코포레이티드 코발트 기판 프로세싱 시스템들, 장치들, 및 방법들
US10879177B2 (en) * 2015-06-19 2020-12-29 Applied Materials, Inc. PVD deposition and anneal of multi-layer metal-dielectric film
CN105789091B (zh) * 2016-03-16 2020-04-24 沈阳拓荆科技有限公司 负载腔室及其使用该负载腔室之多腔室处理系统
US10559483B2 (en) * 2016-08-10 2020-02-11 Lam Research Corporation Platform architecture to improve system productivity
US11482434B2 (en) 2016-10-18 2022-10-25 Belting E-Town Semiconductor Technology Co., Ltd Systems and methods for workpiece processing
US10886155B2 (en) * 2019-01-16 2021-01-05 Applied Materials, Inc. Optical stack deposition and on-board metrology
KR102400603B1 (ko) * 2019-03-29 2022-05-19 삼성에스디아이 주식회사 레지스트 하층막용 조성물 및 이를 이용한 패턴 형성 방법
KR102640172B1 (ko) 2019-07-03 2024-02-23 삼성전자주식회사 기판 처리 장치 및 이의 구동 방법
US20230113673A1 (en) * 2021-10-12 2023-04-13 Applied Materials, Inc. Factory interface robots usable with integrated load locks

Family Cites Families (45)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4047624A (en) * 1975-10-21 1977-09-13 Airco, Inc. Workpiece handling system for vacuum processing
US5563798A (en) * 1994-04-05 1996-10-08 Applied Materials, Inc. Wafer positioning system
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5830272A (en) * 1995-11-07 1998-11-03 Sputtered Films, Inc. System for and method of providing a controlled deposition on wafers
US6062798A (en) * 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5928389A (en) * 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
US6082950A (en) * 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
KR100234539B1 (ko) 1996-12-24 1999-12-15 윤종용 반도체장치 제조용 식각 장치
JPH10233423A (ja) * 1997-02-21 1998-09-02 Nikon Corp ロードロック式真空処理装置
EP1049640A4 (en) * 1997-11-28 2008-03-12 Mattson Tech Inc SYSTEMS AND METHODS FOR HANDLING WORKPIECES FOR VACUUM PROCESSING AT HIGH FLOW RATE AND LOW CONTAMINATION
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
US6340406B1 (en) * 1999-03-24 2002-01-22 Moore North America, Inc. Simple pressure seal units
US6486444B1 (en) * 1999-06-03 2002-11-26 Applied Materials, Inc. Load-lock with external staging area
KR20010002112A (ko) 1999-06-11 2001-01-05 윤종용 에칭설비의 공정챔버
JP4392811B2 (ja) 1999-07-29 2010-01-06 三井造船株式会社 基板のプロセス処理システム
US6949143B1 (en) * 1999-12-15 2005-09-27 Applied Materials, Inc. Dual substrate loadlock process equipment
US20020196201A1 (en) * 2000-06-30 2002-12-26 Rosen Products Llc. Vehicular display system
US6553280B2 (en) * 2000-07-07 2003-04-22 Applied Materials, Inc. Valve/sensor assemblies
TW512421B (en) * 2000-09-15 2002-12-01 Applied Materials Inc Double dual slot load lock for process equipment
US6528427B2 (en) * 2001-03-30 2003-03-04 Lam Research Corporation Methods for reducing contamination of semiconductor substrates
US6506994B2 (en) * 2001-06-15 2003-01-14 Applied Materials, Inc. Low profile thick film heaters in multi-slot bake chamber
US20020195201A1 (en) * 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US6841006B2 (en) * 2001-08-23 2005-01-11 Applied Materials, Inc. Atmospheric substrate processing apparatus for depositing multiple layers on a substrate
US7316966B2 (en) * 2001-09-21 2008-01-08 Applied Materials, Inc. Method for transferring substrates in a load lock chamber
US20030075915A1 (en) * 2001-10-24 2003-04-24 S.Y. Kim Slide hatch for bulk carrier
US6729824B2 (en) * 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6962644B2 (en) * 2002-03-18 2005-11-08 Applied Materials, Inc. Tandem etch chamber plasma processing system
US7085622B2 (en) * 2002-04-19 2006-08-01 Applied Material, Inc. Vision system
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US6827128B2 (en) * 2002-05-20 2004-12-07 The Board Of Trustees Of The University Of Illinois Flexible microchannel heat exchanger
US7959395B2 (en) * 2002-07-22 2011-06-14 Brooks Automation, Inc. Substrate processing apparatus
US6696367B1 (en) * 2002-09-27 2004-02-24 Asm America, Inc. System for the improved handling of wafers within a process tool
JP3970184B2 (ja) * 2003-01-10 2007-09-05 東京エレクトロン株式会社 処理装置
US6896387B2 (en) * 2003-05-02 2005-05-24 Gregg A. Renfro Courtesy illumination disk for a cup holder
US6766596B1 (en) * 2003-07-14 2004-07-27 Gemtron Corporation Appliance door or lid
JP4285642B2 (ja) * 2003-08-12 2009-06-24 東京エレクトロン株式会社 真空容器
US20050150452A1 (en) * 2004-01-14 2005-07-14 Soovo Sen Process kit design for deposition chamber
US20050229849A1 (en) * 2004-02-13 2005-10-20 Applied Materials, Inc. High productivity plasma processing chamber
US20050196971A1 (en) * 2004-03-05 2005-09-08 Applied Materials, Inc. Hardware development to reduce bevel deposition
KR101071817B1 (ko) * 2004-04-19 2011-10-11 엘지전자 주식회사 건조겸용 드럼세탁기
US7282097B2 (en) * 2004-06-14 2007-10-16 Applied Materials, Inc. Slit valve door seal
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
JP4860167B2 (ja) * 2005-03-30 2012-01-25 東京エレクトロン株式会社 ロードロック装置,処理システム及び処理方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104445981A (zh) * 2014-11-10 2015-03-25 芜湖真空科技有限公司 镀膜装置
CN106498366A (zh) * 2015-09-03 2017-03-15 维易科仪器有限公司 多室化学气相沉积系统
CN106997859A (zh) * 2015-12-25 2017-08-01 株式会社日立国际电气 衬底处理装置及半导体器件的制造方法
CN106997859B (zh) * 2015-12-25 2020-03-06 株式会社国际电气 衬底处理装置及半导体器件的制造方法

Also Published As

Publication number Publication date
KR20090007367A (ko) 2009-01-16
CN101432856B (zh) 2012-05-30
US7997851B2 (en) 2011-08-16
WO2007146643A3 (en) 2008-10-23
TWI455225B (zh) 2014-10-01
JP2013141015A (ja) 2013-07-18
US7695232B2 (en) 2010-04-13
TW200807608A (en) 2008-02-01
JP2009540613A (ja) 2009-11-19
WO2007146643A2 (en) 2007-12-21
US20070292244A1 (en) 2007-12-20
US20090092466A1 (en) 2009-04-09

Similar Documents

Publication Publication Date Title
CN101432856B (zh) 多层式负载锁定室、传送室及处理基板的方法
US9472432B1 (en) Dedicated hot and cold end effectors for improved throughput
KR102310838B1 (ko) 고압 처리 챔버를 위한 가스 전달 시스템
US9496159B2 (en) Wafer position correction with a dual, side-by-side wafer transfer robot
TWI706814B (zh) 具有基板載具及清洗腔室環境控制的基板處理系統、設備及方法
KR20230144106A (ko) 고압 프로세싱 챔버를 위한 가스 전달 시스템
TW202020590A (zh) 側儲存倉、電子裝置處理系統、和處理基板的方法
US20090205783A1 (en) Substrate processing apparatus
WO2000028587A1 (fr) Dispositif de traitement
US9145611B2 (en) Load lock chamber with slit valve doors
JP4100466B2 (ja) 液処理装置
TWI585025B (zh) Vacuum lock system and its handling method for substrate
WO1997026675A1 (en) Vacuum compatible water vapor and rinse process module
US7682481B2 (en) Vacuum processing apparatus
US5248022A (en) Driving device having sealing mechanism
KR102227364B1 (ko) 흄 제거를 위한 웨이퍼 클리닝 장치 및 그에 의한 웨이퍼 클리닝 방법
CN207664032U (zh) 双功能反应设备
US20220208564A1 (en) Apparatus and method for treating substrate
KR102139613B1 (ko) 기판 반송 장치 및 기판 처리 장치
JP4906824B2 (ja) 液処理装置
JP4223504B2 (ja) 液処理装置
US20070077134A1 (en) Vacuum handler systems and processes for flexible automation of semiconductor fabrication
KR100961467B1 (ko) 슬릿 밸브 및 그것을 갖는 기판 처리 장치
TW202323171A (zh) 可與整合裝載閘一起使用的工廠介面機器人
KR20070041951A (ko) 진공처리장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant