KR20050096156A - 좁은 핀 finfet - Google Patents

좁은 핀 finfet Download PDF

Info

Publication number
KR20050096156A
KR20050096156A KR1020057013667A KR20057013667A KR20050096156A KR 20050096156 A KR20050096156 A KR 20050096156A KR 1020057013667 A KR1020057013667 A KR 1020057013667A KR 20057013667 A KR20057013667 A KR 20057013667A KR 20050096156 A KR20050096156 A KR 20050096156A
Authority
KR
South Korea
Prior art keywords
layer
finfet
fin structure
gate
fin
Prior art date
Application number
KR1020057013667A
Other languages
English (en)
Other versions
KR101035421B1 (ko
Inventor
조란 크리보카픽
주디 시린 안
스리칸테스와라 닥시나-머씨
하이홍 왕
빈 유
Original Assignee
어드밴스드 마이크로 디바이시즈, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어드밴스드 마이크로 디바이시즈, 인코포레이티드 filed Critical 어드밴스드 마이크로 디바이시즈, 인코포레이티드
Publication of KR20050096156A publication Critical patent/KR20050096156A/ko
Application granted granted Critical
Publication of KR101035421B1 publication Critical patent/KR101035421B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

본 발명은 6nm 미만의 채널 폭을 갖는 좁은 채널 FinFET을 개시한다. 이 FinFET은 핀(140)을 포함하는바, 여기서 채널 영역은 NH4OH 식각 또는 반응성 이온 식각(RIE)을 이용하여 트리밍된다.

Description

좁은 핀 FINFET{NARROW FIN FINFET}
본 발명은 일반적으로 반도체 디바이스 및 그 제조 방법에 관한 것으로서, 특히 이중 게이트 금속 산화물 반도체 전계 효과 트랜지스터(MOSFET)에 관한 것이다.
MOSFET 등의 트랜지스터는 대부분의 반도체 디바이스의 코어 형성 블록이다. 고성능 프로세서 등의 일부 반도체 디바이스는 수백만 개의 트랜지스터를 포함할 수 있다. 전형적으로, 이러한 디바이스에서는, 트랜지스터의 크기를 줄여 트랜지스터의 밀도를 증가시키는 것이 반도체 제조 분야에서 높은 우선 순위였다.
통상적인 MOSFET은 50nm 제조 공정 아래로 치수를 감소시키는 데에 어려움을 갖는다. 서브-50nm MOSFET을 개발하기 위해, 이중 게이트 MOSFET이 제안되었다. 몇몇 양상에서, 이중 게이트 MOSFET은 통상적인 벌크 실리콘 MOSFET 보다 우수한 특성을 제공한다. 이러한 개선은, 통상적인 MOSFET에서 처럼 단지 한측에만이 아니라, 이중 게이트 MOSFET이 채널의 양측에 게이트 전극을 갖기 때문에 이루어지는 것이다.
이제, 도면에 대해 설명하는바, 동일한 참조 부호로 나타낸 요소는 전체적으로 동일한 요소를 나타낸다.
도 1 및 2는 본 발명의 양상에 따른 FinFET의 형성을 나타내는 단면도이다.
도 3은 도 2에 나타낸 FinFET의 사시도이다.
도 4는 도 3에 나타낸 FinFET의 평면도이다.
도 5는 도 4의 라인(A-A')을 따라 절취한 단면도이다.
도 6은 도 3에 나타낸 FinFET의 평면도이다.
도 7은 도 4의 라인(A-A')을 따라 절취한 단면도이다.
도 8은 도 7에 나타낸 FinFET의 평면도이다.
도 9 및 10은 FinFET의 단면도이다.
도 11은 완전한 FinFET의 평면도이다.
도 12 내지 도 15는 본 발명의 제 2 실시예에 따른 FinFET의 단면도이다.
도 16 내지 18은 SiGe층 주위에 형성된 이중 게이트 FinFET의 단면도이다.
본 발명에 따른 구현은 얇은 채널 영역을 갖는 이중 게이트 MOSFET 및 그 제조 방법을 제공한다.
본 발명의 일 양상은 절연층 위에 형성되는 소스 및 드레인 구조를 포함하는 MOSFET 디바이스에 관련된다. 핀 구조가 소스와 드레인 사이의 절연층 위에 형성된다. 이러한 핀 구조는 핀 구조의 채널 영역으로부터 형성되는 얇아진 영역(thinned region)을 포함한다. 보호층이 핀 구조의 적어도 얇아진 영역 위에 형성된다. 보호층은 얇아진 영역의 폭 보다 넓은 폭을 갖는다. 유전층이 핀 구조의 적어도 일부 주위에 형성되고, 게이트가 유전층 및 핀 구조 주위에 형성된다.
본 발명의 다른 양상은 MOSFET 디바이스 제조 방법에 관련된다. 이 방법은 절연층 위에 소스, 드레인 및 핀 구조를 형성하는 단계를 포함한다. 핀 구조의 일부는 MOSFET에 대한 채널의 역할을 한다. 이 방법은 핀 구조 위에 보호층을 형성하는 단계 및 보호층을 상당히 트리밍(trimming)하지 않으면서 핀 구조를 약 3nm 내지 6nm의 폭으로 트리밍하는 단계를 더 포함한다. 이 방법은 핀 구조 주위에 유전층을 성장시키는 단계 및 이 유전층 주위에 폴리실리콘층을 증착하는 단계를 더 포함한다. 폴리실리콘층은 MOSFET에 대한 게이트 영역의 역할을 한다.
본 발명의 하기의 상세한 설명은 첨부 도면을 참조한다. 서로 다른 도면에 있어서의 동일한 참조 부호는 동일하거나 유사한 요소를 나타낸다. 또한, 하기의 상세한 설명은 본 발명을 한정하지 않는다. 대신에, 본 발명의 범위는 첨부된 청구항 및 그 등가에 의해 규정된다.
본원에서 이용되는 FinFET이라는 용어는 도전성 채널이 수직 Si "핀"에 형성되는 MOSFET의 타입을 말한다. FinFET은 종래에 일반적으로 알려져있다.
도 1은 FinFET(100)에 대한 시작 구조의 도핑을 나타내는 단면도이다. FinFET(100)은 실리콘 온 인슐레이터(SOI) 구조를 포함하는바, 이는 실리콘 그리고/또는 게르마늄 기판(110) 위에 형성된 매몰 산화물(BOX)층(120), 및 BOX층(120) 위의 실리콘층(130)을 포함한다. 대안적으로, 실리콘층(130)은 게르마늄 또는 실리콘-게르마늄을 포함할 수 있다. 예시적인 구현에서, BOX층(120)은 약 200nm 내지 약 400nm 범위의 두께를 갖고, 실리콘층(130)은 약 30nm 내지 약 100nm 범위의 두께를 갖는다. 다음으로, 산화물층(예를 들어, SiO2) 그리고/또는 질화물층(예를 들어, Si3N4) 등의 보호층이 증착되어, 후속 식각 동안 보호 캡의 역할을 한다.
이후, 실리콘층(130) 및 보호층을 식각하여 실리콘 핀(140)을 형성하는바, 이 핀(140)의 상부에는 보호층(150 및 160)이 있다(도 2). 보호층(150)은 산화물층이 될 수 있고, 보호층(160)은 질화물층이 될 수 있다. 보호층(150)은 예를 들어 약 15nm의 두께를 가질 수 있고, 보호층(160)은 약 50 내지 75nm 범위의 두께를 가질 수 있다.
이후, 핀(140)의 단부에 인접하게 소스 영역 및 드레인 영역을 형성한다. 일 구현예에서는, 실리콘층(130)을 패터닝하고 식각하여, 핀(140)과 동시에 소스 및 드레인 영역을 형성한다. 일 구현예에서는, 다른 실리콘층을 통상적인 방식으로 증착 및 식각하여, 소스 영역 및 드레인 영역을 형성한다. 도 3은 핀(140)의 단부에 인접하게 소스 영역(310) 및 드레인 영역(320)이 형성되어 있는 FinFET(100)의 사시도이다.
도 4는 소스 영역(310), 드레인 영역(320) 및 핀(140)을 갖는 FinFET(100)의 개략적인 평면도이다. 도 4에서는, 도 1, 2의 라인(A-A')을 따라 절취한다.
다음으로, FinFET(100) 위에 TEOS(테트라에틸오쏘실리케이트)층(501)을 증착한다. 도 5는 도 4의 라인(A-A')을 따라 절취한 FinFET(100)의 단면도로서, TEOS층(501) 을 나타낸다. TEOS층(501)을 어닐링하고 평탄화하여, FinFET(100)의 상부에 비교적 평탄한 표면을 생성한다.
TEOS층(501) 내에 다마신 게이트 마스크를 정의하고 패터닝한다. 구체적으로, TEOS층(501) 내에 트렌치를 형성한다. 이후, 식각을 통해 TEOS층(501) 내에 게이트 영역을 개방한다. 도 6은 TEOS층(501)의 영역(602)이 개방된 부분으로서 도시되어 있는 FinFET(100)의 평면도이다. 보다 구체적으로, 마스크를 이용하여, 나머지 TEOS층(501)을 유지하면서, 영역(602) 내의 TEOS가 식각될 수 있게 한다. 일 구현예에서, 작은 게이트 길이를 얻기 위해 게이트 영역을 패터닝하는 것은 영역(602) 내의 TEOS 위에 약 50 내지 70nm의 깊이로 폴리실리콘층을 증착함으로써 수행한다. 이러한 폴리실리콘층을 패터닝하여, 매우 얇은 폴리실리콘 라인을 남긴다. 이후, 산화물층을 약 120 내지 150nm 증착한 다음, 폴리실리콘의 상부까지 연마한다. 다음으로, 폴리실리콘층을 식각하여 제거한다. 이후, 나머지 산화물층을 TEOS 식각을 위한 마스크로서 이용하여, 영역(602) 내의 TEOS를 식각한다.
다음으로, 핀(140)을 얇게 한다. 일 실시예에서는, 핀(140)이 10nm 내지 15nm의 폭으로부터 약 3nm 내지 6nm의 폭으로 감소될 때 까지, FinFET(100)을 NH4OH에 노출시킴으로써 핀(140)을 얇게 한다. 이러한 박형화(thinning) 공정은, 핀이 약 2Å/min의 속도로 트리밍될 수 있도록 비교적 느리고 제어된 속도로 수행된다. 도 4의 라인(A-A')을 따라 절취한 단면도인 도 7은 이러한 방식으로 얇아진 핀을 도시한다. 도 8은 도 7의 대응하는 평면도이다. 도 7 및 8에 나타낸 바와 같이, 핀(140)을 얇게 한 후, FinFET(100)은 산화물층(150) 및 질화물층(160)의 아래에 형성된 캐비티(cavity)를 포함한다.
도 9에 나타낸 바와 같이, 핀(140)의 측면에 게이트 유전층(901)을 성장시킨다. 게이트 유전층(901)은 0.6 내지 1.2nm로 얇아질 수 있다. 대안적으로, 0.6 내지1.2nm의 등가 산화물 두께(EOT)를 갖는 높은 k 층을 핀(140)의 측면에 형성할 수 있다.
다음으로, 도 10을 참조하여, FinFET(100) 위에 폴리실리콘층을 통상적인 방식으로 증착한다. 게이트 도핑 마스크를 이용하여 폴리실리콘층을 도핑한다. 인으로 NMOS 디바이스를 도핑하고, 붕소로 PMOS 디바이스를 도핑한다. 폴리실리콘을 질화물층(160)의 레벨까지 평탄화하여, 2개의 개별적인 폴리실리콘 영역(1001A 및 1001B)을 형성한다. 폴리실리콘 영역(1001A 및 1001B)을 패터닝하고 식각하여, FinFET(100)의 게이트를 형성한다. 따라서, 폴리실리콘 영역(1001A 및 1001B)은 2개의 전기적으로 독립적인 게이트를 형성한다. 다른 구현에서는, 폴리실리콘 영역(1001A 및 1001B)을 Si3N4층(160)의 레벨까지 연마하지 않는다. 대신에, 단일 폴리실리콘층이 Si3N4층(160)을 커버한다. 이 상황에서, 폴리실리콘층은 FinFET(100)에 대한 단일의 어드레스가능한 게이트를 형성한다.
다음으로, 게이트 영역(602)에 마스크를 적용한다. 게이트 영역(602)을 보호하기 위한 마스크를 이용하게 되면, TEOS층(501)을 제거하기 위한 등방성 습식 식각을 이용하여, 소스/드레인 영역(310 및 320) 위에 증착된 보호 SiO2층(150) 및 Si3N4층(160)과 TEOS층(501)이 식각된다.
소스/드레인 영역(310 및 320)의 표면이 노출된 후, FinFET(100) 상에 이온 주입을 행하여 소스(310) 및 드레인(320)을 도핑한다. 구체적으로, NMOS FinFET에 대해서는, 인을 5 내지 10keV로 1015 atoms/cm2의 주입량(dosage) 만큼 주입한다. PMOS FinFET에 대해서는, 붕소를 2 내지 5keV로 1015 atoms/cm2의 주입량 만큼 주입한다.
이온 주입 이후, FinFET(100) 상에서 실리사이드화(즉, 자기 정렬 실리사이드 공정)를 수행한다. 이 공정에서는, 텅스텐, 코발트, 티타늄, 탄탈륨, 몰리브덴, 니켈, 어븀(eribium) 또는 플래티넘 등의 금속을 폴리실리콘 (게이트) 영역(1001A 및 1001B), 소스 영역(310) 및 드레인 영역(320) 위에 증착한다. 이후, 열 어닐링을 행하여 금속-실리사이드 화합물을 생성한다. 도 11은 어닐링 이후의 FinFET(100)의 평면도이다. 도 11을 참조하여, 크로스해칭(cross-hatching)은 2개의 게이트 영역 및 소스/드레인 영역(310 및 320) 위의 금속-실리사이드 화합물을 나타낸다. 게이트 영역은 폴리실리콘 영역(1001A 및 1001B)의 단부에 형성되는 게이트 패드(1101 및 1102)를 포함한다. 도 11의 점선으로 나타낸 바와 같이, 결과적인 FinFET(100)은 얇은 핀 채널 영역(140)을 포함한다. 하지만, 도 10에 나타낸 바와 같이, 보호층(150 및 160)은 핀(140) 보다 폭이 넓다. 유익하게는, 결과적인 얇은 채널 MOSFET은 개선된 쇼트 채널 제어를 제공한다.
도 5로 돌아가서, 대안적인 실시예에서는, 핀(140)을 NH4OH에 노출시킴으로써 이를 얇게 하는 대신에, 반응성 이온 식각(RIE) 공정을 통해 핀(140)을 트리밍할 수 있다. 일반적으로, 그리고 당업계에 알려져 있는 바와 같이, RIE는 플라즈마 식각의 변형으로서, 식각 동안, 반도체 웨이퍼는 RF 파워 전극 위에 놓여진다. 본 실시예에서, 핀(140)은 처음에 RIE에 의해 얇게 되어, 핀(140)의 폭을 약 3nm 내지 6nm의 폭으로 줄인다.
다음으로, 도 12의 핀(1240)으로 나타낸 바와 같이, 식각 공정을 통해 보호층(150 및 160)을 제거하여 핀을 노출시킨다.
다음으로, 도 13에 나타낸 바와 같이, 보호층(150 및 160)의 식각에 의해 야기되는 식각 손상을 제거하기 위해, 핀(1240)의 노출면에 희생 산화물층(1301)을 형성한다. 희생 산화물층은 약 0.6nm 내지 1.2nm의 두께로 성장되거나 또는 형성되며, 또한 게이트 유전층의 기능을 한다. 대안적으로, 0.6 내지 1.2nm의 등가 산화물 두께(EOT)를 갖는 부가적인 산화물층 또는 높은 k 층(1401)을 핀(140)의 측면에 형성한다.
다음으로, 도 15를 참조하여, FinFET(1200) 위에 폴리실리콘층을 통상적인 방식으로 증착한다. 이 폴리실리콘층을 산화물층(1301)의 레벨까지 평탄화하여, 2개의 개별적인 폴리실리콘 영역(1201A 및 1201B)을 형성한다. 폴리실리콘 영역(1201A 및 1201B)은 FinFET(1200)의 게이트를 형성한다. 따라서, 폴리실리콘 영역(1201A 및 1201B)은 2개의 전기적으로 독립적인 게이트를 형성한다. 다른 구현에서는, 폴리실리콘 영역(1201A 및 1201B)을 산화물층(1301)의 레벨까지 연마하지 않는다. 대신에, 단일 폴리실리콘층이 산화물층(1301)을 커버한다. 이러한 상황에서, 폴리실리콘층은 FinFET(1200)에 대한 단일의 어드레스가능한 게이트를 형성한다.
다음으로, FinFET(1200)의 게이트 영역에 마스크를 적용한다. 게이트 영역을 보호하기 위한 마스크를 이용하여, 소스/드레인 영역(310 및 320) 위에 증착된 부가적인 보호층들 및 TEOS층(501)을 FinFET(1200)의 나머지 부분으로부터 식각하여 제거한다.
소스/드레인 영역(310 및 320)의 표면을 노출시킨 후, FinFET(1200) 위에 이온 주입을 행한다. 이에 의해, 소스(310) 및 드레인(320)을 효과적으로 도핑한다. 구체적으로, NMOS FinFET에 대해서는, 인을 5 내지 10keV로 1015 atoms/cm2의 주입량 만큼 주입한다. PMOS FinFET에 대해서는, 붕소를 2 내지 5keV로 1015 atoms/cm2의 주입량 만큼 주입한다.
이온 주입 이후, FinFET(100) 상에서 실리사이드화(즉, 자기 정렬 실리사이드 공정)를 수행한다. 이 공정에서는, 텅스텐, 코발트, 티타늄, 탄탈륨 또는 몰리브덴 등의 금속을 폴리실리콘 (게이트) 영역(1201A 및 1201B), 소스 영역(310) 및 드레인 영역(320) 위에 증착한다. 이후, 열 어닐링을 행하여 금속-실리사이드 화합물을 생성한다. 이때, FinFET(1200)의 평면도는 도 11에 나타낸 FinFET(200)과 유사하다.
다른 구현
어떠한 상황에서는, 스트레인드(strained) 실리콘 FinFET을 형성하는 것이 바람직하다. 도 16 내지 18은 도 4의 라인(A-A')을 따라 절취한 FinFET(1600)의 단면도이다.
도 16을 참조하여, SiGe층(1610)을 매몰 산화물층(1601) 위에 형성한다. 질화물층(1620)을 SiGe층(1610) 위에 형성한다. SiGe층(1610) 및 질화물층(1620)의 구성은 도 7에 나타낸 얇은 핀과 유사한 방식으로 형성될 수 있다. 따라서, SiGe층(1610) 및 질화물층(1620)이 먼저 동일한 폭을 갖도록 식각된 다음, SiGe층(1610)이 측면으로 식각되어 얇은 SiGe층(1610)을 형성한다. SiGe층(1610)은 약 5nm 내지 15nm의 폭을 가질 수 있다.
다음으로, 도 17을 참조하여, SiGe층의 주위에 Si층(1611)을 약 5nm 내지 10nm의 폭으로 에피텍셜 성장시킨다. Si층(1611)을 성장시킨 후, 게이트 유전층(1612)을 형성한다. 게이트 유전층(1612)은 0.6 내지 1.2nm 정도로 얇다.
다음으로, 도 18을 참조하여, FinFET(1600) 위에 폴리실리콘층(1801)을 통상적인 방식으로 증착한다. 이후, 폴리실리콘층을 패터닝 및 식각하여, FinFET(1600)의 게이트를 형성한다. 또한, 폴리실리콘층(1801)을 질화물층(1620)의 레벨까지 아래로 평탄화한다. 이 시점에서, 상기 설명한 방식으로 FinFET(1600)을 완료한다.
일부 MOSFET은 단일의 매몰 산화물층 위에 위치되는 PMOS FinFET 및 NMOS FinFET을 모두 갖는다. 이러한 구현에서 실리사이드화(예를 들어, 상기 설명한 실리사이드화)를 수행할 때, 선택적인 실리사이드화는 적절한 금속의 무전해 도금에 의해 달성된다. 또한, 2개 또는 그 이상의 서로 다른 실리사이드가 이용될 수 있다. 한 실리사이드(예를 들어, Co, Ni, 희토류 금속 Er, Eu, Ga, Sm)를 NMOS FinFET에 대해 이용하고, 다른 실리사이드(예를 들어, Pt)를 PMOS FinFET에 대해 이용할 수 있다. 이러한 상황에서는, 먼저 PMOS FinFET이 포토레지스트에 의해 커버된 다음, NMOS 금속이 증착된다. 이후, PMOS FinFET 위의 포토레지스트가 제거되고, NMOS FinFET 위에 다른 포토레지스트층이 적용된다. 이때, PMOS 금속이 적용된다. 이후, 열 어닐링을 수행하여, 금속-실리사이드 화합물을 생성한다.
결론
본원에서는 좁은 핀을 갖는 FinFET 및 좁은 핀 FinFET의 제조 방법을 설명하였다. 좁은 핀은, 보다 우수한 쇼트 채널 제어를 포함하여, FinFET에 많은 장점을 제공한다.
상기 설명에서는, 본 발명을 완전하게 이해할 수 있도록, 구체적인 물질, 구조, 화학 물질, 공정 등의 구체적인 많은 세부 사항을 설명하였다. 하지만, 본 발명은 본원에서 구체적으로 설명되는 세부 사항을 이용하지 않고서도 실행될 수 있다. 다른 경우에 있어서는, 본 발명의 취지를 쓸데없이 애매하게 하지 않도록 하기 위해, 잘 알려진 공정 구조에 대해서는 상세하게 설명하지 않았다.
본 발명에 따라 반도체 디바이스를 제조하는 데에 이용되는 유전층 및 도전층은 통상적인 증착 기술에 의해 증착될 수 있다. 예를 들어, 저압 화학 기상 증착(LPCVD) 및 엔핸스트 화학 기상 증착(ECVD)을 포함하는 다양한 타입의 화학 기상 증착(CVD) 공정 등의 금속화 기술이 이용될 수 있다.
본 발명은 반도체 디바이스, 특히 100nm 및 그 미만의 설계 특성을 갖는 반도체 디바이스의 제조에 적용되어, 트랜지스터 및 회로 속도를 증가시키고, 신뢰성을 향상시킨다. 본 발명은 다양한 타입의 반도체 디바이스의 어느 것의 형성에도 적용될 수 있기 때문에, 본 발명의 취지를 애매하게 하는 것을 막기 위해 그 세부 사항에 대해서는 설명하지 않았다. 본 발명을 실행함에 있어서는, 통상적인 포토리소그래피 기술 및 식각 기술을 이용하기 때문에, 본원에서는 이러한 기술의 세부 사항에 대해 상세히 설명하지 않았다.
본원에서는 본 발명의 바람직한 실시예 및 적용가능한 일부 예에 대해서만 개시하여 설명하였다. 이해될 사항으로서, 본 발명은 기타 많은 결합 및 환경에서 이용될 수 있고, 본원에서 설명되는 발명의 개념의 범위 내에서 변형될 수 있다.

Claims (9)

  1. 절연층(120) 위에 형성되는 소스(310) 및 드레인(320)과, 상기 소스(310) 및 상기 드레인(320) 사이의 절연층 위에 형성되는 핀 구조(140)를 포함하는 MOSFET 디바이스에 있어서,
    상기 핀 구조의 채널 영역에 형성되는 얇아진 영역과;
    상기 핀 구조의 적어도 상기 얇아진 영역 위에 형성되는 보호층(150, 160)과, 여기서 상기 보호층은 상기 얇아진 영역의 폭 보다 넓은 폭을 가지며;
    상기 핀 구조의 적어도 채널 부분 주위에 형성되는 유전층(901)과; 그리고
    상기 유전층 및 상기 핀 구조 주위의 상기 절연층 위에 형성되는 게이트(1101, 1102)를 포함하는 것을 특징으로 하는 MOSFET 디바이스.
  2. 제 1 항에 있어서,
    상기 얇아진 영역은 약 3 내지 6nm의 폭을 갖는 것을 특징으로 하는 MOSFET 디바이스.
  3. 제 1 항에 있어서, 상기 보호층은:
    산화물층(150)과; 그리고
    상기 산화물층 위에 형성되는 질화물층(160)을 포함하는 것을 특징으로 하는 MOSFET 디바이스.
  4. 제 3 항에 있어서,
    상기 산화물층(150)은 약 15nm의 깊이로 증착되고, 상기 질화물층(160)은 약 50nm 내지 75nm의 깊이로 증착되는 것을 특징으로 하는 MOSFET 디바이스.
  5. 제 1 항에 있어서,
    상기 유전층(901)은 약 0.6 내지 약 1.2nm의 두께인 것을 특징으로 하는 MOSFET 디바이스.
  6. 제 1 항에 있어서,
    상기 게이트는 폴리실리콘을 포함하는 것을 특징으로 하는 MOSFET 디바이스.
  7. 제 1 항에 있어서,
    상기 MOSFET 디바이스는 FinFET인 것을 특징으로 하는 MOSFET 디바이스.
  8. MOSFET 디바이스 제조 방법으로서,
    절연층(120) 위에 소스(310), 드레인(320) 및 핀 구조(140)를 형성하는 단계와, 여기서 상기 핀 구조의 일부는 상기 MOSFET에 대한 채널로서 작용하며;
    상기 핀 구조 위에 보호층(150, 160)을 형성하는 단계와;
    상기 보호층을 상당히 트리밍하지 않으면서, 상기 핀 구조를 약 3nm 내지 6nm의 폭으로 트리밍하는 단계와;
    상기 핀 구조 주위에 유전층을 형성하는 단계와; 그리고
    상기 유전층 주위에, 상기 MOSFET에 대한 게이트 영역의 역할을 하는 폴리실리콘층을 증착하는 단계를 포함하는 것을 특징으로 하는 MOSFET 디바이스 제조 방법.
  9. 제 8 항에 있어서,
    상기 보호층을 형성하는 단계는:
    산화물을 약 15nm의 깊이로 증착하는 단계와; 그리고
    질화물층을 약 50nm 내지 75nm의 깊이로 증착하는 단계를 포함하는 것을 특징으로 하는 MOSFET 디바이스 제조 방법.
KR1020057013667A 2003-01-23 2004-01-15 좁은 핀 finfet KR101035421B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/348,910 US6762483B1 (en) 2003-01-23 2003-01-23 Narrow fin FinFET
US10/348,910 2003-01-23

Publications (2)

Publication Number Publication Date
KR20050096156A true KR20050096156A (ko) 2005-10-05
KR101035421B1 KR101035421B1 (ko) 2011-05-20

Family

ID=32681618

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020057013667A KR101035421B1 (ko) 2003-01-23 2004-01-15 좁은 핀 finfet

Country Status (7)

Country Link
US (2) US6762483B1 (ko)
EP (1) EP1588422A1 (ko)
JP (1) JP2006516820A (ko)
KR (1) KR101035421B1 (ko)
CN (1) CN1759488B (ko)
TW (1) TW200418180A (ko)
WO (1) WO2004068589A1 (ko)

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6503783B1 (en) * 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US20060170053A1 (en) * 2003-05-09 2006-08-03 Yee-Chia Yeo Accumulation mode multiple gate transistor
EP1643560A4 (en) * 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
KR100517559B1 (ko) * 2003-06-27 2005-09-28 삼성전자주식회사 핀 전계효과 트랜지스터 및 그의 핀 형성방법
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
DE10348007B4 (de) * 2003-10-15 2008-04-17 Infineon Technologies Ag Verfahren zum Strukturieren und Feldeffekttransistoren
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US6967175B1 (en) 2003-12-04 2005-11-22 Advanced Micro Devices, Inc. Damascene gate semiconductor processing with local thinning of channel region
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7186599B2 (en) * 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US6894337B1 (en) * 2004-02-02 2005-05-17 Advanced Micro Devices, Inc. System and method for forming stacked fin structure using metal-induced-crystallization
KR100598099B1 (ko) * 2004-02-24 2006-07-07 삼성전자주식회사 다마신 게이트를 갖는 수직 채널 핀 전계효과 트랜지스터 및 그 제조방법
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
US6872640B1 (en) * 2004-03-16 2005-03-29 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US7115947B2 (en) * 2004-03-18 2006-10-03 International Business Machines Corporation Multiple dielectric finfet structure and method
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
DE102004027691B4 (de) * 2004-06-07 2008-04-30 Infineon Technologies Ag Verfahren zum Herstellen eines Steges aus einem Halbleitermaterial
US7042009B2 (en) * 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7009250B1 (en) 2004-08-20 2006-03-07 Micron Technology, Inc. FinFET device with reduced DIBL
KR100555573B1 (ko) * 2004-09-10 2006-03-03 삼성전자주식회사 Seg막에 의해 확장된 접합영역을 갖는 반도체 소자 및그의 제조방법
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7274053B2 (en) * 2004-11-05 2007-09-25 International Business Machines Corporation Fin device with capacitor integrated under gate electrode
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060118892A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Structures to Produce a Strain-Inducing Layer in a Semiconductor Device
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
DE102006001680B3 (de) 2006-01-12 2007-08-09 Infineon Technologies Ag Herstellungsverfahren für eine FinFET-Transistoranordnung und entsprechende FinFET-Transistoranordnung
US7666741B2 (en) 2006-01-17 2010-02-23 International Business Machines Corporation Corner clipping for field effect devices
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
WO2008039495A1 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Tri-gate field-effect transistors formed by aspect ratio trapping
US8502263B2 (en) 2006-10-19 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Light-emitter-based devices with lattice-mismatched semiconductor structures
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US8518767B2 (en) * 2007-02-28 2013-08-27 International Business Machines Corporation FinFET with reduced gate to fin overlay sensitivity
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
KR101443215B1 (ko) * 2007-06-13 2014-09-24 삼성전자주식회사 앰비폴라 물질을 이용한 전계효과 트랜지스터 및 논리회로
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7923337B2 (en) * 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
US8344242B2 (en) 2007-09-07 2013-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-junction solar cells
WO2009036273A2 (en) * 2007-09-12 2009-03-19 Arizona Board Of Regents For And On Behalf Of Arizona State University Horizontally depleted metal semiconductor field effect transistor
US20090124097A1 (en) * 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
US8003466B2 (en) * 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20090321833A1 (en) * 2008-06-25 2009-12-31 International Business Machines Corporation VERTICAL PROFILE FinFET GATE FORMED VIA PLATING UPON A THIN GATE DIELECTRIC
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7994020B2 (en) 2008-07-21 2011-08-09 Advanced Micro Devices, Inc. Method of forming finned semiconductor devices with trench isolation
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
JP5416212B2 (ja) 2008-09-19 2014-02-12 台湾積體電路製造股▲ふん▼有限公司 エピタキシャル層の成長によるデバイス形成
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
CN101853882B (zh) 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
EP2415083B1 (en) 2009-04-02 2017-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8455860B2 (en) * 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8258577B2 (en) * 2009-06-04 2012-09-04 International Business Machines Corporation CMOS inverter device with fin structures
US7985639B2 (en) * 2009-09-18 2011-07-26 GlobalFoundries, Inc. Method for fabricating a semiconductor device having a semiconductive resistor structure
US8101486B2 (en) 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
JP5404812B2 (ja) * 2009-12-04 2014-02-05 株式会社東芝 半導体装置の製造方法
CN102263131B (zh) * 2010-05-25 2013-05-01 中国科学院微电子研究所 一种半导体器件及其形成方法
CN102315269B (zh) * 2010-07-01 2013-12-25 中国科学院微电子研究所 一种半导体器件及其形成方法
US8551829B2 (en) 2010-11-10 2013-10-08 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8361854B2 (en) 2011-03-21 2013-01-29 United Microelectronics Corp. Fin field-effect transistor structure and manufacturing process thereof
US8597994B2 (en) 2011-05-23 2013-12-03 GlobalFoundries, Inc. Semiconductor device and method of fabrication
US8614152B2 (en) 2011-05-25 2013-12-24 United Microelectronics Corp. Gate structure and a method for forming the same
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US9087687B2 (en) * 2011-12-23 2015-07-21 International Business Machines Corporation Thin heterostructure channel device
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8877623B2 (en) 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
CN103839814B (zh) * 2012-11-21 2016-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US8841197B1 (en) 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9711368B2 (en) 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9934981B2 (en) * 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
CN103681356A (zh) * 2013-12-27 2014-03-26 上海集成电路研发中心有限公司 以碳纳米管为掩膜制备FinFET的方法
US10854735B2 (en) 2014-09-03 2020-12-01 Taiwan Semiconductor Manufacturing Company Limited Method of forming transistor
US9391074B1 (en) 2015-04-21 2016-07-12 International Business Machines Corporation Structure for FinFET fins
US10008384B2 (en) 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US9935102B1 (en) 2016-10-05 2018-04-03 International Business Machines Corporation Method and structure for improving vertical transistor
US10096524B1 (en) 2017-10-18 2018-10-09 International Business Machines Corporation Semiconductor fin patterning techniques to achieve uniform fin profiles for fin field effect transistors
US11545575B2 (en) 2020-07-02 2023-01-03 Globalfoundries U.S. Inc. IC structure with fin having subfin extents with different lateral dimensions
US11211453B1 (en) 2020-07-23 2021-12-28 Globalfoundries U.S. Inc. FinFET with shorter fin height in drain region than source region and related method

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5757038A (en) * 1995-11-06 1998-05-26 International Business Machines Corporation Self-aligned dual gate MOSFET with an ultranarrow channel
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) * 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
JP3543117B2 (ja) * 2001-03-13 2004-07-14 独立行政法人産業技術総合研究所 二重ゲート電界効果トランジスタ
JP2002289871A (ja) * 2001-03-28 2002-10-04 Toshiba Corp 半導体装置及びその製造方法
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100431489B1 (ko) * 2001-09-04 2004-05-12 한국과학기술원 플래쉬 메모리 소자 및 제조방법
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US6657252B2 (en) 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US6750487B2 (en) * 2002-04-11 2004-06-15 International Business Machines Corporation Dual double gate transistor
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US6706571B1 (en) 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6709982B1 (en) 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer

Also Published As

Publication number Publication date
US6921963B2 (en) 2005-07-26
TW200418180A (en) 2004-09-16
WO2004068589A1 (en) 2004-08-12
CN1759488B (zh) 2010-08-18
US6762483B1 (en) 2004-07-13
CN1759488A (zh) 2006-04-12
JP2006516820A (ja) 2006-07-06
EP1588422A1 (en) 2005-10-26
KR101035421B1 (ko) 2011-05-20
US20040197975A1 (en) 2004-10-07

Similar Documents

Publication Publication Date Title
KR101035421B1 (ko) 좁은 핀 finfet
KR101079348B1 (ko) FinFET 디바이스에 게이트를 형성하고 FinFET디바이스의 채널 영역의 핀을 가늘게 하는 방법
US7256455B2 (en) Double gate semiconductor device having a metal gate
KR101695509B1 (ko) 핀 전계 효과 트랜지스터에 대한 핀 형상 및 이의 형성 방법
US7879675B2 (en) Field effect transistor with metal source/drain regions
US7915167B2 (en) Fabrication of channel wraparound gate structure for field-effect transistor
US8120073B2 (en) Trigate transistor having extended metal gate electrode
CN109427672A (zh) 半导体器件的制造方法及半导体器件
CN107689376B (zh) 半导体器件和方法
US12009408B2 (en) Multi-gate devices having a semiconductor layer between an inner spacer and an epitaxial feature
US10446435B2 (en) Local trap-rich isolation
KR20050095871A (ko) 3중 게이트 및 게이트 어라운드 mosfet 디바이스, 및그 제조 방법
US10522635B2 (en) Semiconductor device and method
TW201711157A (zh) 互連結構與其製造方法和應用其之半導體元件
US20240154016A1 (en) Transistor Gates and Methods of Forming
CN106328537B (zh) 半导体元件及制造方法
US20220262911A1 (en) Semiconductor Device and Method
US11205647B2 (en) Semiconductor device and method of manufacture
US6743666B1 (en) Selective thickening of the source-drain and gate areas of field effect transistors
JP2023554273A (ja) 局所化された金属シリサイドを含むラップ・アラウンド・コンタクト
US6995438B1 (en) Semiconductor device with fully silicided source/drain and damascence metal gate
US10038081B1 (en) Substrate contacts for a transistor
CN111668309A (zh) 具有扩散阻挡间隙件部分的场效应晶体管
US20230369428A1 (en) Under epitaxy isolation structure
US20240113164A1 (en) Film modification for gate cut process

Legal Events

Date Code Title Description
A201 Request for examination
N231 Notification of change of applicant
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20140422

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20150416

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20160419

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee