TW200418180A - Narrow fin finFET - Google Patents

Narrow fin finFET Download PDF

Info

Publication number
TW200418180A
TW200418180A TW093101517A TW93101517A TW200418180A TW 200418180 A TW200418180 A TW 200418180A TW 093101517 A TW093101517 A TW 093101517A TW 93101517 A TW93101517 A TW 93101517A TW 200418180 A TW200418180 A TW 200418180A
Authority
TW
Taiwan
Prior art keywords
layer
finfet
mosfet
fin structure
gate
Prior art date
Application number
TW093101517A
Other languages
English (en)
Inventor
Zoran Krivokapic
Judy Xilin An
Spikanteswara Dakshina-Murthy
Haihong Wang
Bin Yu
Original Assignee
Advanced Micro Devices Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Micro Devices Inc filed Critical Advanced Micro Devices Inc
Publication of TW200418180A publication Critical patent/TW200418180A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66818Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET the channel being thinned after patterning, e.g. sacrificial oxidation on fin
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

200418180 玖、發明說明 【發明所屬之技術領域】 本發明係大致有關於一種半導體裝置及製造半導體 裝置之方法,尤係有關雙閘極金屬氧化物半導體場效電 晶體(Metal Oxide Semiconductor Field Effect
Transistor ;簡稱 MOSFET)。 【先前技術】
諸如MOSFET等的電晶體是大多數半導體裝置的核 心建構單元。諸如高效能處理器等的某些半導體裝置可 包含數百萬個電晶體。對於這些裝置而言,減小電晶體 的尺寸並因而增加電晶體的密度在傳統上已是半導體製 造領域中之一高優先項目。 傳統的MOSFET在尺寸小於50奈米的製程上有其 困難度。為了開發小於50奈米的MOSFET,已有人提議 雙閘極MOSFET、。在數個方面中,雙閘極MOSFET提供 了比傳統塊狀(bulk)矽MOSFET更佳的性能。因為雙閘 極MOSFET在通道的兩邊都有一閘電極,並非是如同傳 統的MOSFET只在一邊有閘電極,故有了這些改良。 【發明内容】 根據本發明的實施例提供了一種具有一窄通道區之 雙閘極MOSFET及其製造方法。 本發明的一個面向係有關一種MOSFET裝置,該 MOSFET裝置包含在絕緣層上形成的源極及汲極結構。 在該絕緣層上且在該源極與汲極之間形成一鰭片結構。 6 92531 200418180 該鰭片結構包含在該鰭片結構的通道區中形成之削薄 區。至少在該鰭片結構的該削薄區之上形成保護層。該 保護層的寬度大於該削薄區的寬度。在該鰭片結構的至 少一部分周圍形成介電層,且在該介電層及該鰭片結構 周圍形成閑極。 本發明的另一面向係有關一種形成MOSFET裝置的 方法。該方法包含下列步驟:在絕緣層上形成源極、沒 極、及鰭片結構。該鰭片結構的各部分係用來作為該 MOSFET的通道。該方法進一步包含下列步驟:在該籍 片結構之上形成保護層;以及將該鰭片結構削減到大約 3示米至6奈米的寬度,但並不顯著地削減該保護層。 該方法進一步包含下列步驟:在該鰭片結構周圍生長介 電層;以及在該介電層周圍沈積多晶矽層。該多晶石夕層 係用來作為該MOSFET的閘極區。 【實施方式】 下文中對本發明的詳細說明將參照各附圖。可將相 同的代號用於不同的圖式,以便識別相同的或類似的元 件。此外,下文中之詳細說明並非對本發明加以限制。 應係以最後的申請專利範圍及其等效範圍來界定本發明 的範圍。 $ 作為本文所使用名詞的FinFET意指一種在垂直矽 “鰭片”中形成傳導通道之MOSFET。 第1圖S FmFET ( 1 〇〇 ) #起始結構推雜時之斷面 圖。FinFET ( 1〇〇)可包括—絕緣層上覆石夕(仙議〇n 92531 7 200418180 I n s u 1 a t 〇 r ;簡稱 ς f) τ、4士 j·故 冉SOI )…構,該s〇I結構包 鍺基材(110)上报忐从上 你’及〔或) 上形成的埋入氧化物(BOX)層(12〇) :::在順層(12〇)之上的石夕層⑽)。在替代實施 列中’層(130)可包含緒、或石夕.錯。在_實施例中, BOX層(120)之厚度範圍可自大約2〇〇奈米至大約 奈米,且石夕層(130)之厚度範圍可自大約3〇奈米 約100奈米。然後可沈積諸如氧化物層(例如二氧化 及(或)氮化物層(例如氮切)等的保護層,以便用 來作為後續蝕刻期間的保護蓋。 然後可姓刻碎層(13G)及各保護層,以便形成石夕轉 片(140)、以及在鰭片(14〇)之上的保護層(15〇)及 (160M請參閱第2圖)。保護層(15〇)可以是氧化物 層,且保護層〇6〇)可以是氮化物層。層(15〇)之厚 度可例如為大約1 5本来,日恳,*1 /: Λ、 J i:>不木且層(160)之厚度範圍可以 是大約5 0至7 5奈米間。 然後可在鄰近縛片(140)末端處形成源極/汲極區。 在一實施例中,可在矽層(130)上產生圖案並蝕刻矽層 (13〇)’以便在形成韓片(140)的同時也形成源極及沒 極區。在其他的實施例巾,可以習知的方式沈積並姓刻 另一矽層,以便形成源極及汲極區。第3圖是FinFET (1〇〇)的透視圖’該FinFET( 1〇0)具有在鄰近籍片(14〇) 末端處形成的源極及汲極區(310)及(32〇)。 第4圖是具有源極區(310)、汲極區(32〇)、及韓 片(140)的FinFET ( 100)之俯視圖。係沿著第4圖中 92531 8 200418180 之Α·Α’線的第1及2圖中之斷面圖。 然後可在FinFET ( 1〇〇 )之上形成矽酸四乙酯 (TEOS )層(501 )。第5圖是沿著第4圖中之A-A,線 的FinFET ( 1〇〇)之斷面圖,用以顯示TEOS層(501 )。 可對TEOS層(501 )進行退火及平坦化,以便在FinFET (1 0 0 )的頂部產生較平坦的表面。 可在TEOS層(501)中界定鑲嵌(damascene)閘極 遮罩層’並在該遮罩層中產生圖案。尤其可在TE〇S層 (501 )中形成溝槽。然後可經由蝕刻而在TE〇s層(5〇1 ) 中打開閘極區。第6圖是FinFET ( 1〇〇)的俯視圖,其 中係將TEOS層(501)中之區域(6〇2)係表示該被打 開的部分。更具體而言’可利用該遮罩層來姓刻區域 (602 )中之TE0S層並保持其餘的te〇s層㈠)。在 一實施例中,可透過在區域(6G2)中之了卿層上沈積 繼約為5〇至7〇奈米的多晶石夕層,而圖案化該間極 區’藉以得到較小的閘極長度。 安 J圖案化该多晶石夕層, 而留下極薄的多晶矽線。麸德 …、傻可沈積厚度大約為120至 1 5 0奈米的氧化物層,然後將 乳化物層研磨到到該多 晶石夕層的頂部。接著將該多晶 r , 夕钱刻掉。然後蝕刻區域 1602)中之TE〇s,且係 TEOSI虫刻的遮罩層。 射于、的氧化物層作為該 然後可削薄鰭片(140)。在—麻# 丄
f 1 nm 4立,η〆 貝%例中,可使FinFET (1 00 )接觸氰水,直到鰭片 1 5太丰沾I u丄 )的見度自1 〇奈米至 1 5示未減少到大約3奈米至6太 不水為止,而將鰭片(140) 92531 9 200418180 削薄。可在較慢的速率且受控制的進度下,執行該_ 製程’使該轄片係以大約2埃/分鐘的速率下被削減。被 :此種方式削薄的鰭片係示於第7圖,而第7圖是沿著 第4圖的A-A’線的斷面圖。第8圖是對應第7圖的的俯 視圖。如第7及8圖所示,在削薄鰭片(14〇 )之後的
FinFET( 100)包含形成在氧化層(15〇)及保護層(副) 下的凹處。 如第9圖所示,可在鰭片(14〇)的側表面上生長閑 極介電層(9〇1)。閘極介電層(9〇1)之厚度可薄至 至U奈米。在替代實施例中,可在籍片(14〇)的側表 面上形成具有〇·6至丨.2奈米的等效氧化物厚度 (EqUlvalent 〇xide Thickness ;簡稱 e〇t)之高介電 數(k)值層。 請參閱第10圖,然後可以一種習知的方式在FinFET / 100)上沈積多晶矽層。可利用閘極摻雜遮罩層來摻雜 该多晶石夕層。可利用磷來摻雜NMOS裝置,且可利用硼 來摻雜罐裝置。可將該多晶石夕層平坦化至氮化物層 (160)的高度,而形成兩個獨立的多晶矽區(1⑼1 A ) 及^⑻叫。可圖案化多晶秒區(剛A)及(⑽叫 中’亚餘刻多晶石夕區(1〇〇1A)及(1〇〇ib),以便形成 FinFET(1〇〇)的閘極。多晶石夕區(刚A)及(1〇〇1B) 可因而形成兩個在電性獨立的閘極。在其他的實施例 中’可不將多晶石夕區(1001A)及(1〇〇1B)研磨到氮化 矽層(160)的高度。替代性地,單一的多晶矽層可覆蓋 92531 200418180 氮化矽層(160 )。在此種情形中,該多晶矽層形成FinFET (1 00 )的單一可定址之閘極。之後可將遮罩層施加至閘 極區(602)。使用該遮罩層保護區域(6〇2),該TE〇s層(5〇1) 以及保護二氧化矽與氮化矽層(15〇)與(16〇)沉積於該源 極/汲極區域(3 1〇)與(320)上,接著可使用等向性濕蝕刻 方式予以蝕刻俾移除該TE〇S層(5()1)。 在露出源極/汲極區(310)及(32〇)的表面之後, 可對FinFET ( 1〇〇 )執行離子植入,以便摻雜源極(3 1〇 ) 及>及極(320)。更具體而言,在NMOSFinFET中,可在 1〇〗5原子/平方厘米的劑量及5至10kev (千電子伏特) 的能量下植入磷。在PM0SFinFET中,可在1〇15原子/ 平方厘米的劑量及2至5kev的能量下植入硼。 在離子植入之後,可對FinFET ( 1〇〇)執行 salicidation製程(如自我對準矽化物製程)。在該步驟 中’可在多晶矽(閘極)區(1〇〇1A)及(1〇〇1B)以及 源極及汲極區(310)及(32〇)之上沈積諸如鎢、鈷、 鈦、鈕、鉬、鎳、铒、或鉑等金屬。然後可執行熱退火, 以便產生金屬矽化物化合物。第丨丨圖示出在退火之後的 FinFET ( 1 〇〇 )之俯視圖。請參閱第丨丨圖,該斷面陰影 線代表在源極/汲極區(3〗〇 )及(32〇 )以及兩個閘極區 之上的金屬矽化物化合物。該等閘極區可包含在多晶矽 區(1001A)及(1001B)的末端上形成之閘極墊(ιι〇ι) 及(1102)。所形成的FinFET ( 1〇〇)包含在第u圖中 以虛線示出的薄鰭片通道區40)。然而,如第i 〇圖所 92531 ^U418180 不’保護層(150 )及「16〇 )的官& ☆ 及〔16〇)的見度大於鰭片(140)的 二 有利之處在於·所形成的鉑通道MOSFET提供了 車父佳之短通道控制。 請再參閱第5圖,在替代實施例中,並不是 ,=接觸氨水而賴(14〇)削薄,而是可利用活
=:r(Reactive 一〜簡稱咖 为J減·、、、日片(140)。一船而士,曰4 LL RTP θ ^ 叙而5,且如此項技術中所習知的, ^ :裝姓刻的一種變形,這是因為在㈣姓刻期 :將+導體晶圓放置在發出射頻的電極上。在該實 &例中,初步時可利用R τ 、 到大約3夺米::1Γ 片)的寬度減少 不卡至ό奈未,而將鰭片(14〇)削薄。 ,後刊用㈣製程來去除保護層(15〇)及(16〇), 路=第12圖中被標示為藉片(124〇)的藉片。 而引二t 13圖所示,為了消除因蝕刻層(150)及㈠60) ==刻損壞,然後可在韓片(124。)的露出表面 2 =犧牲氧化物層(13G1)。可使犧牲氧化物層生長至 或形成至大約〇.6至12太 氧化物層用來作為閘極二:度,且亦可將該犧牲 作為閘極介電層。在替代實施例中,可在 的側表面上形成具有。·…2奈米的等: 氧化物厚度(E 〇 了)夕 名貝卜的氧化物層或高&值層,且 該額外的氧化物層或高^值層被標示為層(咖)。 請參閱第I 5圖,铁赖可·、, _
M,nn, u ^後可以一種習知的方式在FinFET 沈積多晶矽層。可將該多晶矽 化物層(1301)的古痒 丁一化主乳 )勺同度,而形成兩個獨立的多晶 92531 12 200418180 (1201A)及(1201B)。多晶石夕區(1201A)及(1201B) 可形成FinFET ( 1200 )的閘極。多晶矽區(12〇1A)及 (120 1B )可因而形成兩個電性獨立的閘極。在其他的 實施例中’可不將多晶石夕區(1 2 〇 1 a )及(1 2 〇 1B )研磨 至氧化物層(1 3 0 1 )的咼度。替代性地,單一的多晶石夕 層可覆蓋氧化物層(1301 )。在此種情形中,該多晶石夕層 形成FinFET ( 1200 )的單一可定址之閘極。 然後可將遮罩層施加到FinFET( 12〇〇)的閘極區。 使用該遮罩層來保護該閘極區時,然後可自其餘的 FinFET ( 1200 )姓刻掉TE0S層(5〇1)以及在源極/汲 極區(310)及( 320 )之上沈積的額外保護層。 在露出源極/汲極區(310)及(320 )的表面之後, 可對FinFET ( 1200 )執行離子植入。如此可有效地摻雜 源極(310)及汲極(320 )。更具體而言,在νμ〇:5ι^ρετ 中,可在1015原子/平方厘米的劑量及5至1〇 的 月匕里下植入石粦。在PMOSFinFET中,可在ι〇ΐ5原子/平 方厘米的劑量及2至5 kev的能量下植入硼。 在離子植入之後,可對FinFET ( 12〇〇)執行 sallcldati〇n製程(如自我對準矽化物製程)。在該步驟 中’可在多晶矽(閘極)區(12〇1A)及(12〇1β )以及 源極及汲極區(310)及(320 )之上沈積諸如鎢、鈷、 鈦、.鈕、或鉬等的金屬。然後可執行熱退火,以便產生 金屬矽化物化合物。此時,FinFET ( 1200 )的俯視圖係 類似於第11圖所示之FinFET ( 200 )。 92531 13 200418180 其他實施^ • 某二丨月形中,可能需要形成應變矽(strained silicon )FinFE丁。篦 ι κ 至1 8圖是沿著第4圖中之A - A ’ 線的FinFET ( 1600 )之橫斷 面圖。 月,閱第16圖,可在埋入氧化物層(1601)上形 成夕錯層(1 6 1 〇 )。然後可在石夕錯層(⑹〇 )之上形成氮 化:勿層(1 620 )。可以諸如一種形成類似於第7圖所示 的薄鰭片之方式形成㈣層(161())及氮化物層(162⑸ 的配置。因此’初步時可將料層(i6iG)及氮化物層 (1 620 )钱刻成具有相同的寬度,然後可橫向餘刻石夕錯 層(161〇),以便形成薄矽鍺層(1610)。矽鍺層(161〇) 的寬度可以是大約5奈米至丨5奈米。 明 > 閱第1 7圖,然後可在該矽鍺層附近以磊晶生 長方式生長出寬度大約& 5奈米i 1〇奈米的石夕層 (1611)。在生長出矽層(1611)之後,可接著形成閘極 介電層(16丨2)。間極介電層(1612)的厚度可薄至〇·6 至1·2奈米。 凊麥閱第1 8圖,然後可以一種習知的方式在 FinFET ( 1600 )上沈積一多晶矽層(18〇1 )。然後圖案 化該多晶矽層,並蝕刻該多晶矽層,以便形成 (1 600 )的閘極。亦可將多晶矽層(18〇1)向下平坦化 到氮化物層0620 )的高度。此時,可以前文所述:方 式完成 FinFET ( 1600)。 92531 14 200418180 某些MOSFET具有被放置在單一埋入氧化物層上的 PMOS及NMOSFinFET。在該實施例中執行salicidati〇n 製程(例如前文所述的salicidaticm製程)時,可對適當 的金屬進行無電鑛(electr〇less plating ),而完成選擇性 的salicidation。此外,可使用兩種或更多種不同的矽化 物。可將一種矽化物(例如鈷、鎳、稀、土金屬的铒、 銪、鎵、釤矽化物)用於NMOSFinFET,且可將另一種 矽化物(例如鉑矽化物)用於PMOSFinFET。在此種情 形中,可先以光阻層覆蓋該PMOSFinFET,接著可沈積 NMOS金屬。然後可去除該PMOSFinFET之上的光阻 層,接著可在該NMOSFinFET之上施加另光阻層。此 日可,可施加PMOS金屬。然後可執行熱退火,以便產 生金屬矽化物化合物。 結論 本文已說明了具有窄鰭片之FinFET及製造該窄縛 片FinFET之方法。該窄鰭片將其中包括短通道控制的 若干優點提供給FinFET。 在前文的說明中,述及了諸如特定材料、結構、化 學品、製程等的許多特定細節,以便使本發明能夠徹底 被了解。然而,可在不依靠本文所述該等特定細節的情 形下實施本發明。在其他的情形中,並未詳細說明一些 習知的處理結構,以免非必要地模糊了本發明的重點。 可以傳統的沈積技術來沈積用來製造根據本發明的 半導體裝置之介電層及導電層。例如,可採用諸如其中 15 92531 200418180 ▲ 包括低壓化學氣相沈積(Low Pressure Chemical Vapoi,
Deposition;簡稱LPCVD)及增強型化學氣相沈積 (Enhanced Chemical Vapor Deposition ;簡稱 ECVD ) 的各種類型的化學氣相沈積(Chemical Vapor
Deposition ;簡稱CVD)製程等的金屬化技術。 可將本發明應用於製造半導體裝置,尤其是應用於 製造設計的線幅在1 00奈米或更小的半導體裝置,而可 Φ 得到更多的電晶體及電路速度、以及較佳的可靠性。可 將本發明應用於各種類型的半導體裝置之形成,因而並 未述及細節,以免模糊了本發明的點。於實施本發明時, 採用了習知的微影及蝕刻技術,因而本文並未詳細述及 此類技術的細節。
本發明的揭示事項中只示出及說明本發明的較佳實 鈿例及其夕用m。所應了解者,係本發明可用於各種其 他的組合及環境’且可在本文所陳述的本發明的 範圍内對本發明加以修改。 “ 【圖式簡單說明】 鈾文之說明係表日3夂sn , 7 “、、各附圖,而在所有的附圖中 有相同代號的元件可代#4§/ 口中,具 τ」代表類似的元件。 弟1及2圖是形成^
根據本發明的各面向的FinFET < 面圖; 第3圖是第2圖所+ 口所不FinFET之透視圖; 第4圖是第3圖所干 M々不FlnFET之俯視圖; 第5圖是沿著第4 m 士 , 圖中之A-A,線之斷面圖; 92531 16 200418180 第6圖是第3圖所示FinFET之俯視圖; 第7圖是沿著第4圖中之A-A’線之橫斷面圖; 第8圖是第7圖所示FinFET之俯視圖; 第9及10圖是FinFET之斷面圖; 第11圖是完成的FinFET之俯視圖; 弟1 2至1 5圖是根據本發明的第二實施例的ρ丨η ρ e T 之斷面圖;以及 第1 6至1 8圖是在石夕鍺層周圍建構的雙閘極FinFET 之斷面圖。 [元件符號說明] 鰭式場效電晶體 120,1601 埋入氧化物層 10〇,2〇〇,120051 600 U〇 基材 130,1611 矽層 150,160保護層 3 10 汲極區 6 02 區域
1001A,1001B,1201A,1201B 1101,1102 1301 犧牲氧化物層 1620 氮化物層 140,1240 鰭片 3 10 源極區 501 石夕酸四乙酯層 901,1612 閘極介電層 多晶石夕區 閘極塾* 1610 矽鍺層 1810 多晶石夕層 92531 17

Claims (1)

  1. 200418180 拾、申請專利範圍: 1. 一種金屬氧化物半導體場效電晶體(MOSFET)裝置, 該MOSFET裝置包含在絕緣層(120)上形成的源極 (310)及汲極(32〇),並包含在該絕緣層上且在該 源極(3 10 )與汲極(32〇 )之間形成的鰭片結構(14〇 ), 該MOSFET裝置之特徵在於:
    在该鰭片結構的通道區中形成之削薄區; 至少在該鰭片結構的削薄區上形成的保護層 (150,160 ),該保護層的寬度大於該削薄區的寬度; 在該鰭片結構的至少一個通道部分周圍形成之 介電層(901 );以及 在該介電層及該鰭片結構周圍的絕緣層上形成 之閘極(1 1 0 1,1 1 02 )。 2. 如申請專利範圍第1項之裝置,其中該削薄區之寬度 大約為3至6奈米間。 3. 如申請專利範圍第1項之裝置,其中該保護層包含: 氧化物層(1 5 0 );以及 在該氧化物層之上形成的氮化物層(1 6〇 )。 4·如申請專利範圍第3項之裝置,其中該氧化物層(15〇) ^ U積至大約1 5奈米間的深度,且該氮化物層(1 6 〇 ) 尤積至大約50奈米至75奈米間的深度。 5·如申胃請專利範圍第1項之裝置,其中該介電層(901) 之厚度約為〇·6奈米至1·2奈米間。 6·如申請專利範圍第i項之裝置,其中該閘極包含多晶 92531 18 200418180 >5^ 〇 如申请專利範圍第1項之裝置,其中該M〇SFET裝 置是一 FinFET。 8β 一種形成MOSFET装置的方法,係包含下列步驟: 在絶緣層(1 20 )上形成源極(3丨〇 )、汲極(3 2〇 )、 及鰭片結構(1 4 0 ),該轉片么士姐 曰月結構的複數個部分係用來 作為該MOSFET的通道; t 在該鰭片結構之上形成徂$ R 々成保護層(150,160 ); 在不顯著地削減該4罕士崔爲& 保&層的情況下將該鰭片結 構削減到大約3奈米至6奈米間的寬度; 在該鰭片結構周圍生長介電層;以及 在該介電層周圍沈積多晶夕 丨貝7日日吵層,該多晶石夕層係用 來作為該MOSFET的閘極區。 9·如申請專利範圍第8項之方、丰甘 一 μ Κ之方法,其中形成該保護層之 该步驟包含下列步驟: :氧化物層沈積至大約i 5奈米的深度;以及 將氮化物層沈積至大約5〇奈米至75奈米間的深 度0 92531 19
TW093101517A 2003-01-23 2004-01-20 Narrow fin finFET TW200418180A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US10/348,910 US6762483B1 (en) 2003-01-23 2003-01-23 Narrow fin FinFET

Publications (1)

Publication Number Publication Date
TW200418180A true TW200418180A (en) 2004-09-16

Family

ID=32681618

Family Applications (1)

Application Number Title Priority Date Filing Date
TW093101517A TW200418180A (en) 2003-01-23 2004-01-20 Narrow fin finFET

Country Status (7)

Country Link
US (2) US6762483B1 (zh)
EP (1) EP1588422A1 (zh)
JP (1) JP2006516820A (zh)
KR (1) KR101035421B1 (zh)
CN (1) CN1759488B (zh)
TW (1) TW200418180A (zh)
WO (1) WO2004068589A1 (zh)

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6503783B1 (en) * 2000-08-31 2003-01-07 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US6657276B1 (en) * 2001-12-10 2003-12-02 Advanced Micro Devices, Inc. Shallow trench isolation (STI) region with high-K liner and method of formation
US20060170053A1 (en) * 2003-05-09 2006-08-03 Yee-Chia Yeo Accumulation mode multiple gate transistor
WO2004107452A1 (ja) 2003-05-30 2004-12-09 Matsushita Electric Industrial Co., Ltd. 半導体装置およびその製造方法
KR100517559B1 (ko) * 2003-06-27 2005-09-28 삼성전자주식회사 핀 전계효과 트랜지스터 및 그의 핀 형성방법
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
DE10348007B4 (de) * 2003-10-15 2008-04-17 Infineon Technologies Ag Verfahren zum Strukturieren und Feldeffekttransistoren
US7029958B2 (en) * 2003-11-04 2006-04-18 Advanced Micro Devices, Inc. Self aligned damascene gate
US6967175B1 (en) 2003-12-04 2005-11-22 Advanced Micro Devices, Inc. Damascene gate semiconductor processing with local thinning of channel region
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7186599B2 (en) * 2004-01-12 2007-03-06 Advanced Micro Devices, Inc. Narrow-body damascene tri-gate FinFET
US7268058B2 (en) * 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US6894337B1 (en) * 2004-02-02 2005-05-17 Advanced Micro Devices, Inc. System and method for forming stacked fin structure using metal-induced-crystallization
KR100598099B1 (ko) * 2004-02-24 2006-07-07 삼성전자주식회사 다마신 게이트를 갖는 수직 채널 핀 전계효과 트랜지스터 및 그 제조방법
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
US6872640B1 (en) * 2004-03-16 2005-03-29 Micron Technology, Inc. SOI CMOS device with reduced DIBL
US7115947B2 (en) * 2004-03-18 2006-10-03 International Business Machines Corporation Multiple dielectric finfet structure and method
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
DE102004027691B4 (de) * 2004-06-07 2008-04-30 Infineon Technologies Ag Verfahren zum Herstellen eines Steges aus einem Halbleitermaterial
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7009250B1 (en) * 2004-08-20 2006-03-07 Micron Technology, Inc. FinFET device with reduced DIBL
KR100555573B1 (ko) * 2004-09-10 2006-03-03 삼성전자주식회사 Seg막에 의해 확장된 접합영역을 갖는 반도체 소자 및그의 제조방법
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7361958B2 (en) 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7274053B2 (en) * 2004-11-05 2007-09-25 International Business Machines Corporation Fin device with capacitor integrated under gate electrode
US7393733B2 (en) 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US20060118892A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and Structures to Produce a Strain-Inducing Layer in a Semiconductor Device
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7858481B2 (en) * 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US7396711B2 (en) * 2005-12-27 2008-07-08 Intel Corporation Method of fabricating a multi-cornered film
DE102006001680B3 (de) 2006-01-12 2007-08-09 Infineon Technologies Ag Herstellungsverfahren für eine FinFET-Transistoranordnung und entsprechende FinFET-Transistoranordnung
US7666741B2 (en) 2006-01-17 2010-02-23 International Business Machines Corporation Corner clipping for field effect devices
WO2007112066A2 (en) 2006-03-24 2007-10-04 Amberwave Systems Corporation Lattice-mismatched semiconductor structures and related methods for device fabrication
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US8173551B2 (en) 2006-09-07 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Defect reduction using aspect ratio trapping
US7875958B2 (en) 2006-09-27 2011-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Quantum tunneling devices and circuits with lattice-mismatched semiconductor structures
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US20080187018A1 (en) 2006-10-19 2008-08-07 Amberwave Systems Corporation Distributed feedback lasers formed via aspect ratio trapping
US7772048B2 (en) * 2007-02-23 2010-08-10 Freescale Semiconductor, Inc. Forming semiconductor fins using a sacrificial fin
US8518767B2 (en) * 2007-02-28 2013-08-27 International Business Machines Corporation FinFET with reduced gate to fin overlay sensitivity
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
KR101443215B1 (ko) * 2007-06-13 2014-09-24 삼성전자주식회사 앰비폴라 물질을 이용한 전계효과 트랜지스터 및 논리회로
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US7923337B2 (en) * 2007-06-20 2011-04-12 International Business Machines Corporation Fin field effect transistor devices with self-aligned source and drain regions
WO2009035746A2 (en) 2007-09-07 2009-03-19 Amberwave Systems Corporation Multi-junction solar cells
US8441048B2 (en) 2007-09-12 2013-05-14 Arizona Board Of Regents For And On Behalf Of Arizona State University Horizontally depleted metal semiconductor field effect transistor
US20090124097A1 (en) * 2007-11-09 2009-05-14 International Business Machines Corporation Method of forming narrow fins in finfet devices with reduced spacing therebetween
US8003466B2 (en) * 2008-04-08 2011-08-23 Advanced Micro Devices, Inc. Method of forming multiple fins for a semiconductor device
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US20090321833A1 (en) * 2008-06-25 2009-12-31 International Business Machines Corporation VERTICAL PROFILE FinFET GATE FORMED VIA PLATING UPON A THIN GATE DIELECTRIC
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7994020B2 (en) * 2008-07-21 2011-08-09 Advanced Micro Devices, Inc. Method of forming finned semiconductor devices with trench isolation
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
WO2010033813A2 (en) 2008-09-19 2010-03-25 Amberwave System Corporation Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
US7871873B2 (en) * 2009-03-27 2011-01-18 Global Foundries Inc. Method of forming fin structures using a sacrificial etch stop layer on bulk semiconductor material
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
CN101853882B (zh) * 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
WO2010114956A1 (en) 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8258577B2 (en) * 2009-06-04 2012-09-04 International Business Machines Corporation CMOS inverter device with fin structures
US7985639B2 (en) * 2009-09-18 2011-07-26 GlobalFoundries, Inc. Method for fabricating a semiconductor device having a semiconductive resistor structure
US8101486B2 (en) * 2009-10-07 2012-01-24 Globalfoundries Inc. Methods for forming isolated fin structures on bulk semiconductor material
WO2011067821A1 (ja) * 2009-12-04 2011-06-09 株式会社 東芝 半導体装置の製造方法
CN102263131B (zh) * 2010-05-25 2013-05-01 中国科学院微电子研究所 一种半导体器件及其形成方法
CN102315269B (zh) * 2010-07-01 2013-12-25 中国科学院微电子研究所 一种半导体器件及其形成方法
US8551829B2 (en) 2010-11-10 2013-10-08 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8361854B2 (en) 2011-03-21 2013-01-29 United Microelectronics Corp. Fin field-effect transistor structure and manufacturing process thereof
US8597994B2 (en) 2011-05-23 2013-12-03 GlobalFoundries, Inc. Semiconductor device and method of fabrication
US8614152B2 (en) 2011-05-25 2013-12-24 United Microelectronics Corp. Gate structure and a method for forming the same
US8772860B2 (en) 2011-05-26 2014-07-08 United Microelectronics Corp. FINFET transistor structure and method for making the same
US9184100B2 (en) 2011-08-10 2015-11-10 United Microelectronics Corp. Semiconductor device having strained fin structure and method of making the same
US9105660B2 (en) 2011-08-17 2015-08-11 United Microelectronics Corp. Fin-FET and method of forming the same
US8853013B2 (en) 2011-08-19 2014-10-07 United Microelectronics Corp. Method for fabricating field effect transistor with fin structure
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691651B2 (en) 2011-08-25 2014-04-08 United Microelectronics Corp. Method of forming non-planar FET
US8441072B2 (en) 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
US8426277B2 (en) 2011-09-23 2013-04-23 United Microelectronics Corp. Semiconductor process
US8497198B2 (en) 2011-09-23 2013-07-30 United Microelectronics Corp. Semiconductor process
US8722501B2 (en) 2011-10-18 2014-05-13 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8575708B2 (en) 2011-10-26 2013-11-05 United Microelectronics Corp. Structure of field effect transistor with fin structure
US8871575B2 (en) 2011-10-31 2014-10-28 United Microelectronics Corp. Method of fabricating field effect transistor with fin structure
US8278184B1 (en) 2011-11-02 2012-10-02 United Microelectronics Corp. Fabrication method of a non-planar transistor
US8426283B1 (en) 2011-11-10 2013-04-23 United Microelectronics Corp. Method of fabricating a double-gate transistor and a tri-gate transistor on a common substrate
US8440511B1 (en) 2011-11-16 2013-05-14 United Microelectronics Corp. Method for manufacturing multi-gate transistor device
US8604548B2 (en) 2011-11-23 2013-12-10 United Microelectronics Corp. Semiconductor device having ESD device
US8803247B2 (en) 2011-12-15 2014-08-12 United Microelectronics Corporation Fin-type field effect transistor
US9087687B2 (en) 2011-12-23 2015-07-21 International Business Machines Corporation Thin heterostructure channel device
US8609499B2 (en) * 2012-01-09 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8759184B2 (en) 2012-01-09 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and the methods for forming the same
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8946031B2 (en) 2012-01-18 2015-02-03 United Microelectronics Corp. Method for fabricating MOS device
US8664060B2 (en) 2012-02-07 2014-03-04 United Microelectronics Corp. Semiconductor structure and method of fabricating the same
US8822284B2 (en) 2012-02-09 2014-09-02 United Microelectronics Corp. Method for fabricating FinFETs and semiconductor structure fabricated using the method
US9159809B2 (en) 2012-02-29 2015-10-13 United Microelectronics Corp. Multi-gate transistor device
US9006107B2 (en) 2012-03-11 2015-04-14 United Microelectronics Corp. Patterned structure of semiconductor device and fabricating method thereof
US9159626B2 (en) 2012-03-13 2015-10-13 United Microelectronics Corp. FinFET and fabricating method thereof
US8946078B2 (en) 2012-03-22 2015-02-03 United Microelectronics Corp. Method of forming trench in semiconductor substrate
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US9142649B2 (en) 2012-04-23 2015-09-22 United Microelectronics Corp. Semiconductor structure with metal gate and method of fabricating the same
US8766319B2 (en) 2012-04-26 2014-07-01 United Microelectronics Corp. Semiconductor device with ultra thin silicide layer
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US8691652B2 (en) 2012-05-03 2014-04-08 United Microelectronics Corp. Semiconductor process
US8877623B2 (en) 2012-05-14 2014-11-04 United Microelectronics Corp. Method of forming semiconductor device
US8470714B1 (en) 2012-05-22 2013-06-25 United Microelectronics Corp. Method of forming fin structures in integrated circuits
US9012975B2 (en) 2012-06-14 2015-04-21 United Microelectronics Corp. Field effect transistor and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8872280B2 (en) 2012-07-31 2014-10-28 United Microelectronics Corp. Non-planar FET and manufacturing method thereof
US9318567B2 (en) 2012-09-05 2016-04-19 United Microelectronics Corp. Fabrication method for semiconductor devices
US9159831B2 (en) 2012-10-29 2015-10-13 United Microelectronics Corp. Multigate field effect transistor and process thereof
CN103839814B (zh) * 2012-11-21 2016-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
US9536792B2 (en) 2013-01-10 2017-01-03 United Microelectronics Corp. Complementary metal oxide semiconductor field effect transistor, metal oxide semiconductor field effect transistor and manufacturing method thereof
US9076870B2 (en) 2013-02-21 2015-07-07 United Microelectronics Corp. Method for forming fin-shaped structure
US8841197B1 (en) 2013-03-06 2014-09-23 United Microelectronics Corp. Method for forming fin-shaped structures
US9196500B2 (en) 2013-04-09 2015-11-24 United Microelectronics Corp. Method for manufacturing semiconductor structures
US9711368B2 (en) 2013-04-15 2017-07-18 United Microelectronics Corp. Sidewall image transfer process
US8853015B1 (en) 2013-04-16 2014-10-07 United Microelectronics Corp. Method of forming a FinFET structure
US8709901B1 (en) 2013-04-17 2014-04-29 United Microelectronics Corp. Method of forming an isolation structure
US9147747B2 (en) 2013-05-02 2015-09-29 United Microelectronics Corp. Semiconductor structure with hard mask disposed on the gate structure
US9000483B2 (en) 2013-05-16 2015-04-07 United Microelectronics Corp. Semiconductor device with fin structure and fabrication method thereof
US9263287B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Method of forming fin-shaped structure
US8802521B1 (en) 2013-06-04 2014-08-12 United Microelectronics Corp. Semiconductor fin-shaped structure and manufacturing process thereof
US9006804B2 (en) 2013-06-06 2015-04-14 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9070710B2 (en) 2013-06-07 2015-06-30 United Microelectronics Corp. Semiconductor process
US8993384B2 (en) 2013-06-09 2015-03-31 United Microelectronics Corp. Semiconductor device and fabrication method thereof
US9263282B2 (en) 2013-06-13 2016-02-16 United Microelectronics Corporation Method of fabricating semiconductor patterns
US9401429B2 (en) 2013-06-13 2016-07-26 United Microelectronics Corp. Semiconductor structure and process thereof
US9123810B2 (en) 2013-06-18 2015-09-01 United Microelectronics Corp. Semiconductor integrated device including FinFET device and protecting structure
US9048246B2 (en) 2013-06-18 2015-06-02 United Microelectronics Corp. Die seal ring and method of forming the same
US9190291B2 (en) 2013-07-03 2015-11-17 United Microelectronics Corp. Fin-shaped structure forming process
US9105685B2 (en) 2013-07-12 2015-08-11 United Microelectronics Corp. Method of forming shallow trench isolation structure
US9093565B2 (en) 2013-07-15 2015-07-28 United Microelectronics Corp. Fin diode structure
US9019672B2 (en) 2013-07-17 2015-04-28 United Microelectronics Corporation Chip with electrostatic discharge protection function
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9006805B2 (en) 2013-08-07 2015-04-14 United Microelectronics Corp. Semiconductor device
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9385048B2 (en) 2013-09-05 2016-07-05 United Microelectronics Corp. Method of forming Fin-FET
US9373719B2 (en) 2013-09-16 2016-06-21 United Microelectronics Corp. Semiconductor device
US9934981B2 (en) * 2013-09-26 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Techniques for processing substrates using directional reactive ion etching
US9166024B2 (en) 2013-09-30 2015-10-20 United Microelectronics Corp. FinFET structure with cavities and semiconductor compound portions extending laterally over sidewall spacers
US9018066B2 (en) 2013-09-30 2015-04-28 United Microelectronics Corp. Method of fabricating semiconductor device structure
US9306032B2 (en) 2013-10-25 2016-04-05 United Microelectronics Corp. Method of forming self-aligned metal gate structure in a replacement gate process using tapered interlayer dielectric
US8980701B1 (en) 2013-11-05 2015-03-17 United Microelectronics Corp. Method of forming semiconductor device
US9299843B2 (en) 2013-11-13 2016-03-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US8951884B1 (en) 2013-11-14 2015-02-10 United Microelectronics Corp. Method for forming a FinFET structure
CN103681356A (zh) * 2013-12-27 2014-03-26 上海集成电路研发中心有限公司 以碳纳米管为掩膜制备FinFET的方法
US10854735B2 (en) 2014-09-03 2020-12-01 Taiwan Semiconductor Manufacturing Company Limited Method of forming transistor
US9391074B1 (en) 2015-04-21 2016-07-12 International Business Machines Corporation Structure for FinFET fins
US10008384B2 (en) 2015-06-25 2018-06-26 Varian Semiconductor Equipment Associates, Inc. Techniques to engineer nanoscale patterned features using ions
US9935102B1 (en) 2016-10-05 2018-04-03 International Business Machines Corporation Method and structure for improving vertical transistor
US10096524B1 (en) 2017-10-18 2018-10-09 International Business Machines Corporation Semiconductor fin patterning techniques to achieve uniform fin profiles for fin field effect transistors
US11545575B2 (en) 2020-07-02 2023-01-03 Globalfoundries U.S. Inc. IC structure with fin having subfin extents with different lateral dimensions
US11211453B1 (en) 2020-07-23 2021-12-28 Globalfoundries U.S. Inc. FinFET with shorter fin height in drain region than source region and related method

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5757038A (en) * 1995-11-06 1998-05-26 International Business Machines Corporation Self-aligned dual gate MOSFET with an ultranarrow channel
US7163864B1 (en) * 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6413802B1 (en) * 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6472258B1 (en) * 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6300182B1 (en) * 2000-12-11 2001-10-09 Advanced Micro Devices, Inc. Field effect transistor having dual gates with asymmetrical doping for reduced threshold voltage
US6475869B1 (en) * 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) * 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
JP3543117B2 (ja) * 2001-03-13 2004-07-14 独立行政法人産業技術総合研究所 二重ゲート電界効果トランジスタ
JP2002289871A (ja) * 2001-03-28 2002-10-04 Toshiba Corp 半導体装置及びその製造方法
US6458662B1 (en) * 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100431489B1 (ko) * 2001-09-04 2004-05-12 한국과학기술원 플래쉬 메모리 소자 및 제조방법
US6657259B2 (en) * 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6583469B1 (en) * 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
US6657252B2 (en) * 2002-03-19 2003-12-02 International Business Machines Corporation FinFET CMOS with NVRAM capability
US6750487B2 (en) * 2002-04-11 2004-06-15 International Business Machines Corporation Dual double gate transistor
US6642090B1 (en) * 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US6770516B2 (en) * 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6709982B1 (en) * 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6645797B1 (en) * 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer

Also Published As

Publication number Publication date
US6762483B1 (en) 2004-07-13
US20040197975A1 (en) 2004-10-07
CN1759488A (zh) 2006-04-12
EP1588422A1 (en) 2005-10-26
CN1759488B (zh) 2010-08-18
KR101035421B1 (ko) 2011-05-20
WO2004068589A1 (en) 2004-08-12
US6921963B2 (en) 2005-07-26
KR20050096156A (ko) 2005-10-05
JP2006516820A (ja) 2006-07-06

Similar Documents

Publication Publication Date Title
TW200418180A (en) Narrow fin finFET
US11121133B2 (en) Semiconductor device with fin transistors and manufacturing method of such semiconductor device
US9275907B2 (en) 3D transistor channel mobility enhancement
US8476139B2 (en) High performance MOSFET
US7667271B2 (en) Fin field-effect transistors
US20150079753A1 (en) Multiple-Gate Semiconductor Device and Method
US7566609B2 (en) Method of manufacturing a semiconductor structure
KR101361424B1 (ko) 반도체 장치의 제조 방법
TW200939353A (en) Method for fabricating super-steep retrograde well MOSFET on SOI or bulk silicon substrate, and device fabricated in accordance with the method
TW200425425A (en) Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US9269804B2 (en) Gate recessed FDSOI transistor with sandwich of active and etch control layers
WO2013044430A1 (zh) 制作鳍式场效应晶体管的方法以及由此形成的半导体结构
US20080145982A1 (en) Isolation spacer for thin soi devices
WO2012100463A1 (zh) 一种形成半导体结构的方法
CN104112667B (zh) 半导体器件及其制造方法
CN104124164B (zh) 半导体器件及其制造方法
US9478468B1 (en) Dual metal contact scheme for CMOS devices
US20060199343A1 (en) Method of forming MOS transistor having fully silicided metal gate electrode
CN104124198B (zh) 半导体器件及其制造方法
CN105336787B (zh) 半导体器件及其制造方法