JP2023554273A - 局所化された金属シリサイドを含むラップ・アラウンド・コンタクト - Google Patents

局所化された金属シリサイドを含むラップ・アラウンド・コンタクト Download PDF

Info

Publication number
JP2023554273A
JP2023554273A JP2023534220A JP2023534220A JP2023554273A JP 2023554273 A JP2023554273 A JP 2023554273A JP 2023534220 A JP2023534220 A JP 2023534220A JP 2023534220 A JP2023534220 A JP 2023534220A JP 2023554273 A JP2023554273 A JP 2023554273A
Authority
JP
Japan
Prior art keywords
liner
region
gate
metal
field effect
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2023534220A
Other languages
English (en)
Inventor
ミラー、エリック
フロウギアー、ジュリアン
ミグノット、ヤン
エム グリーン、アンドリュー
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of JP2023554273A publication Critical patent/JP2023554273A/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823443MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes silicided or salicided gate conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823871Complementary field-effect transistors, e.g. CMOS interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mathematical Physics (AREA)
  • Theoretical Computer Science (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Bipolar Transistors (AREA)
  • Coupling Device And Connection With Printed Circuit (AREA)

Abstract

個々のラップ・アラウンド・コンタクト構造を形成するために使用される共形に堆積させた金属ライナは、ゲート構造の対間、およびゲート構造の頂部の下方に局所化される。ブロック・マスク・パターニングを用いて、基板の活性領域上のトランジスタを保護しながら、活性領域間の金属ライナの部分を除去する。面取り技術を用いて、活性領域内の金属ライナのさらなる部分を選択的に除去する。共形に堆積した金属ライナを使用してソース/ドレイン領域上に形成された金属シリサイド・ライナは、誘電体層の堆積およびパターニングならびにその後のメタライゼーションに続いて、ソース/ドレイン・コンタクト金属に電気的に接続される。

Description

本発明は、一般に、電気、電子およびコンピュータ技術に関し、より詳細には、ラップ・アラウンド・コンタクトを含む電界効果トランジスタ(FET)およびその製造に関する。
様々な集積回路の構成要素の寸法が縮小するにつれて、電界効果トランジスタ(FET)などのトランジスタは、性能および電力消費の両方において劇的な改善を受けてきた。これらの改善は、主に、集積回路で使用される構成要素の寸法の縮小によるもので、言い換えると、一般的に、静電容量、抵抗の減少とトランジスタのスループット電流の増加とによるものである可能性がある。金属酸化膜半導体電界効果トランジスタ(MOSFET)は、高密度集積回路での使用に非常に適している。MOSFETおよび他のデバイスのサイズが減少するにつれて、デバイスのソース/ドレイン領域、チャネル領域、およびゲート電極の寸法も減少する。
FinFET、ナノシートおよび他のFETは、狭ピッチ用途での使用可能性に向けて開発中である。ナノシートFETは、複数のチャネル層を含み、各チャネル層は、導電性ゲート材料の層およびゲート誘電体層を含むゲート・スタックによって分離されている。ゲート・スタックは、チャネル層のすべての側面を包み込み、それによって、ゲート・オール・アラウンド(GAA)構造を形成する。ナノシート・チャネル層の端部のエピタキシャル領域は、ナノシートFETのソース/ドレイン領域を形成する。スペーサは、ナノシート・トランジスタのソース/ドレイン領域からゲートを電気的に絶縁するために用いられる。フィン型電界効果トランジスタ(FinFET)は、基板の上方に延在するフィン状構造を含む3次元の非平面構成を有する。基板は、半導体オン・インシュレータ(SOI)基板またはバルク半導体基板を含むことができる。シリコン・フィンは、側壁イメージ転写(SIT)などの既知の技術を介して基板上の一部のFinFETに形成される。SOI基板を含むFinFET構造は、フォトリソグラフィに続いて、結晶シリコン層を酸化物または他の絶縁層まで選択的にエッチングすることによって部分的に形成することができる。アクティブ・フィンの高さは、SOI基板を用いる場合、SOIの厚さによって設定される。バルクFinFETでは、アクティブ・フィンの高さは、典型的には、酸化物の厚さおよびエッチングされたフィンの高さによって設定される。
先進のCMOSデバイスにおける積極的な寸法スケーリングに起因して、全寄生抵抗に対するミドル・オブ・ライン(middle-of-line、MOL)コンタクト抵抗の寄与が増加している。シリサイド/ソース-ドレイン界面抵抗は、全外部寄生抵抗の主な要因となり得る。ソース-ドレイン表面全体を包み込むことによってシリサイド・コンタクト長を増加させることにより、全体的なコンタクト抵抗を低減することができる。ソース-ドレイン・エピタキシをラップ・アラウンド・コンタクト(WAC)としてのトレンチ・シリサイド(TS)ライナで被覆して広がり抵抗を低減することは、先進の半導体デバイスを設計するために重要である場合がある。化学気相堆積(CVD)または原子層堆積(ALD)を使用する共形のメタライゼーション・プロセスが金属コンタクトを形成するために用いられている。
本発明の一態様によると、ラップ・アラウンド・コンタクトを含む半導体構造体を形成する方法が提供される。本方法は、モノリシック構造体上に金属ライナを共形に堆積することを含み、モノリシック構造体は、第1および第2の活性領域を含み、第1および第2の活性領域上の電界効果トランジスタは、ゲート構造およびエピタキシャル・ソース/ドレイン領域を含む。金属シリサイド・ライナが、金属ライナからエピタキシャル・ソース/ドレイン領域上に形成され、これらの領域を覆う(wrap around)。本方法は、パターニングされたブロック・マスクで第1および第2の活性領域を保護することと、第1の活性領域と第2の活性領域との間のパターニングされたブロック・マスクによって露出された領域から金属ライナを選択的に除去することと、パターニングされたブロック・マスクを除去することと、をさらに含む。保護マスクは、エピタキシャル・ソース/ドレイン領域が保護マスクの頂面の完全に下方になるように、ならびに第1および第2の活性領域の上方の金属ライナの部分が露出されるようにモノリシック構造体上に形成される。第1および第2の活性領域の上方の金属ライナの露出部分が選択的に除去され、次いで保護マスクが除去される。
ラップ・アラウンド・コンタクトを含む半導体構造体を形成するさらなる方法は、第1および第2の活性領域と、ゲート構造、ゲート構造の上方のゲート・キャップ、ゲート構造の側壁上のゲート側壁スペーサ、およびゲート構造の対間のエピタキシャル・ソース/ドレイン領域を含む、第1および第2の活性領域上の電界効果トランジスタと、エピタキシャル・ソース/ドレイン領域上の、エピタキシャル・ソース/ドレイン領域を覆う第1のライナ部分、ならびに第1の活性領域と第2の活性領域との間でゲート・キャップおよびゲート側壁スペーサの上に延在する金属ライナを含む連続したライナと、を含むモノリシック構造体を得ることを含む。第1および第2の活性領域は、パターニングされたブロック・マスクで保護される。金属ライナは、第1の活性領域と第2の活性領域との間のパターニングされたブロック・マスクによって露出された領域から選択的に除去され、その後パターニングされたブロック・マスクが除去される。保護マスクは、ゲート・キャップ、およびゲート側壁スペーサの頂部が保護マスクの頂面の上方に延在し、エピタキシャル・ソース/ドレイン領域が保護マスクの頂面の下方になるように、モノリシック構造体上に形成される。金属ライナは、ゲート側壁スペーサおよびゲート・キャップの頂部からを選択的に除去され、保護マスクが除去される。
本発明のさらなる態様では、第1の活性領域および第2の活性領域を含む半導体基板と、第1の活性領域と第2の活性領域との間の浅いトレンチ分離領域と、第1の活性領域上の複数のゲート構造と、を含む半導体構造体が提供される。第1の活性領域上の第1の電界効果トランジスタは、第1の活性領域上のゲート構造のうちの1つと、チャネル領域と、チャネル領域の両側の一対のエピタキシャル・ソース/ドレイン領域と、を含み、各エピタキシャル・ソース/ドレイン領域は、第1の活性領域上の一対のゲート構造間に位置する。ラップ・アラウンド金属シリサイド・ライナは、各エピタキシャル・ソース/ドレイン領域に隣接する。誘電体層は、半導体基板および第1の電界効果トランジスタの上に延在する。半導体構造体は、各金属シリサイド・ライナにそれぞれ連続する金属ライナ部分をさらに含み、各金属ライナ部分は、第1の活性領域上の一対のゲート構造間に位置し、ソース/ドレイン領域の上方に延在する垂直延在部分、および第1の電界効果トランジスタのソース/ドレイン領域の下方にあり、浅いトレンチ分離領域と誘電体層との間に配置された水平延在部分を含む。各金属ライナ部分の水平延在部分は、第1の電界効果トランジスタのソース/ドレイン領域のうちの1つを越えて横方向に延在する。
さらなる態様による半導体構造体は、第1の活性領域および第2の活性領域を含む半導体基板と、半導体基板全体にわたって延在する複数の平行なゲート構造と、第1の活性領域上の第1の電界効果トランジスタであって、ゲート構造のうちの1つ、チャネル領域、およびチャネル領域の両側の第1の対のエピタキシャル・ソース/ドレイン領域を備える第1の電界効果トランジスタと、を含む。各エピタキシャル・ソース/ドレイン領域は、第1の対のゲート構造間に位置する。半導体構造体は、第2の活性領域上の第2の電界効果トランジスタをさらに含み、第2の電界効果トランジスタは、ゲート構造のうちの1つと、チャネル領域と、チャネル領域の両側の第2の対のエピタキシャル・ソース/ドレイン領域とを含み、各エピタキシャル・ソース/ドレイン領域は、第2の対のゲート構造間に位置する。誘電体ライナは、第1の電界効果トランジスタおよび第2の電界効果トランジスタを覆う。金属シリサイド層が、第1および第2の電界効果トランジスタのソース/ドレイン領域のそれぞれの周りに巻き付けられている。共形の金属ライナが各金属シリサイド・ライナから延在し、各金属シリサイド・ライナに連続している。各共形の金属層は、一対のゲート構造間に閉じ込められ、ソース/ドレイン領域のうちの1つの上方に垂直に延在する垂直延在部分を含み、一対のゲート構造は、各共形の金属ライナの垂直延在部分の上方に垂直に延在する。ソース/ドレイン・コンタクト金属は、誘電体層を貫いて垂直に延在し、金属シリサイド・ライナに接触する。
本明細書に開示される技術および構造は、実質的に有益な技術的効果を提供することができる。限定ではなく単なる例として、1つまたは複数の実施形態は、以下の利点のうちの1つまたは複数を提供することができる。
・堅牢で簡単に統合された個々のラップ・アラウンド・コンタクト。
・極端紫外線リソグラフィ(EUVL)レベルの数を削減すること。
・反転階調パターニング(inverse tone patterning)に関連して起こり得る問題を回避すること。
これらおよび他の特徴ならびに利点は、添付の図面に関連して読まれるべき、その例示的な実施形態の以下の詳細な説明から明らかになるであろう。
以下の図面は、限定ではなく例としてのみ提示され、同様の参照番号(使用される場合)は、いくつかの図を通して対応する要素を示す。
モノリシック半導体構造体の選択された要素を示す概略平面図である。 モノリシック半導体構造体のさらなる要素を示す、図1の線Xに沿った概略断面図である。 モノリシック半導体構造体のさらなる要素を示す、図1の線Yに沿った概略断面図である。 選択的酸化物エッチング後の図1Aに示す構造体の概略断面図である。 選択的酸化物エッチング後の図1Bに示す構造体の概略断面図である。 コンタクト・エッチング停止層(CESL)を除去した後の図2Aに示すような構造体の概略断面図である。 コンタクト・エッチング停止層(CESL)を除去した後の図2Bに示すような構造体の概略断面図である。 ソース/ドレイン領域の周囲にシリサイド・ライナを形成した後の図3Aに示すような構造体の概略断面図である。 金属ライナを堆積させた後の図3Bに示すような構造体の概略断面図である。 図4Aに示すような構造体上にブロック・マスクを堆積させ、パターニングした後の構造体の概略断面図である。 図4Bに示すような構造体上にブロック・マスクを堆積させ、パターニングした後の構造体の概略断面図である。 図5Aに示すような構造体の活性領域間の金属ライナを選択的に除去した後の構造体の概略断面図である。 図5Bに示すような構造体の活性領域間の金属ライナを選択的に除去した後の構造体の概略断面図である。 パターニングされたブロック・マスクを除去した後の図6Aに示すような構造体の概略断面図である。 パターニングされたブロック・マスクを除去した後の図6Bに示すような構造体の概略断面図である。 有機平坦化層(OPL)の堆積およびその部分的なエッチ・バック後の図7Aに示すような構造体の概略断面図である。 有機平坦化層(OPL)の堆積およびその部分的なエッチ・バック後の図7Bに示すような構造体の概略断面図である。 ゲート上の金属ライナの選択的面取り後の図8Aに示すような構造体の概略断面図である。 ゲート上の金属ライナの選択的面取り後の図8Bに示すような構造体の概略断面図である。 OPLを除去した後の図9Aに示すような構造体の概略断面図である。 OPLを除去した後の図9Bに示すような構造体の概略断面図である。 層間誘電体(ILD)層を堆積させ、平坦化した後の図10Aに示すような構造体の概略断面図である。 ILD層を堆積させ、平坦化した後の図10Bに示すような構造体の概略断面図である。 ILD層にコンタクト・トレンチを形成した後の図11Aに示すような構造体の概略断面図である。 ILD層にコンタクト・トレンチを形成した後の図11Bに示すような構造体の概略断面図である。 コンタクト・メタライゼーション後の図12Aに示すような構造体の概略断面図である。 コンタクト・メタライゼーション後の図12Bに示すような構造体の概略断面図である。 平坦化後の図13Aに示すような構造体の概略断面図である。 平坦化後の図13Bに示すような構造体の概略断面図である。
図中の要素は、簡潔および明瞭にするために示されていることを理解されたい。商業的に実現可能な実施形態において有用または必要である可能性のある一般的であるがよく理解されている要素は、例示された実施形態を見る妨げとならないように図示されていない場合がある。
本発明の原理は、例示的な実施形態の文脈で本明細書に記載される。しかしながら、本明細書に例示的に示され、記載される特定の実施形態または方法あるいはその両方は、限定的なものではなく、例示的なものと考えられるべきであることを理解されたい。さらに、本明細書の教示を考慮すれば、示された実施形態に対して特許請求の範囲内にある多数の修正を行うことができることが当業者には明らかになるであろう。すなわち、本明細書に示され、記載される実施形態に関するいかなる限定も意図されておらず、または推論されるべきではない。
ラップ・アラウンド・コンタクト(WAC)を形成するために用いることができるステップの例示的なシーケンスが図に示される。開示される方法および結果として得られる構造は、GAAナノシート・アーキテクチャに関するものであるが、本発明の原理は、FinFETなどの他のFETアーキテクチャにも適用することができる。
図1、図1Aおよび図1Bに示すような例示的なモノリシック構造体20は、GAAナノシート・アーキテクチャが提供される製造プロセス中に得ることができる。このようなアーキテクチャおよび類似のアーキテクチャを製造するための様々な技術が当技術分野で知られており、新しい技術が開発され続けている。例示的な構造体20は、pFETおよびnFETを含み、それぞれが、交互する半導体チャネル層21のスタックを含む(図1Bに断面で示す)。半導体チャネル層21は、例えば、シリコン・ナノシートであってもよい。ナノシートは、半導体オン・インシュレータ基板上に、またはバルク・シリコン基板などのバルク半導体基板上に形成することができる。基板24内に形成された浅いトレンチ分離(STI)領域26は、基板上に形成され得る隣り合ったトランジスタまたは他のデバイスを含む活性領域の電気的絶縁を提供する。例示的な実施形態では、基板24の活性領域24Aは、単結晶シリコン・フィンを含むが、結晶構造内に不純物が存在してもよい。単結晶シリコン・ウエハは、市販されており、ダイヤモンド立方格子構造を特徴とする。当技術分野で知られているように、基板のミラー指数は、シリコンの結晶面が主結晶軸と交差する点の逆数から決定される。本明細書に記載される例示的な実施形態は、基板材料としてドープされたまたはドープされていない(100)シリコンを含む構造に関する。
1つまたは複数の例示的な実施形態では、半導体チャネル層21はそれぞれ、4~10ナノメートル(4~10nm)の範囲の厚さを有する。半導体層スタックの半導体(チャネル)層の数は、製造されるナノシート・トランジスタの所望の用途および能力に応じて変わることがある。チャネル層21は、単結晶シリコン層から本質的に構成され得、場合によっては不純物を含んでいてもよく、一部の実施形態では、6~20ナノメートル(6~20nm)離間されている。各半導体チャネル層21の幅は、一部の実施形態では、約20~50ナノメートル(20~50nm)であるが、この範囲は、限定的なものではなく例示的なものと考えられるべきである。
構造体20の製造は、チャネル層21間の犠牲シリコン・ゲルマニウム層(図示せず)を、図1および図1Bに概略的に示すようなゲート・オール・アラウンド構造(ゲート・スタック)28を形成する金属ゲートおよびゲート誘電体材料によって置き換えることを含むことができる。シリコン層とシリコン・ゲルマニウム層とを交互にエピタキシャル成長させて、所望の数のシリコン(チャネル)層を有する垂直スタックを得ることができる。用語「エピタキシャル成長させるまたは堆積するあるいはその両方」および「エピタキシャル成長されたまたは堆積されたあるいはその両方」とは、成長させる半導体材料が堆積表面の半導体材料と同じ結晶特性を有する半導体材料を、半導体材料の堆積表面上に成長させることを意味する。エピタキシャル堆積プロセスでは、堆積原子が十分なエネルギーで半導体基板の堆積表面に到達し、表面上で動き回り、堆積表面の原子の結晶配列に配向するように、ソース・ガスによって提供される化学反応物が制御され、システム・パラメータが設定される。したがって、エピタキシャル半導体材料は、エピタキシャル半導体材料が形成される堆積表面と同じ結晶特性を有する。
ゲート構造28は、6~20ナノメートル(6~20nm)の範囲の厚さを有することができる。チャネル層およびゲート構造の寸法範囲は、限定的なものではなく、例示的なものと考えられるべきである。ゲート誘電体層は、犠牲シリコン・ゲルマニウム層を置き換えるゲート・スタック28の部分を形成する。ゲート・スタックは、シリコン・ナノシート・チャネル層21に隣接し、底部誘電体分離(BDI)層36またはSTI領域26あるいはその両方まで延在する。ゲート誘電体層に適した材料の非限定的な例としては、酸化物、窒化物、酸窒化物、ケイ酸塩(例えば、金属ケイ酸塩)、アルミン酸塩、チタン酸塩、窒化物、またはこれらの任意の組合せが挙げられる。高kゲート誘電体材料(7.0より大きい誘電率を有する)の例には、酸化ハフニウム、酸化ハフニウム・シリコン、酸窒化ハフニウム・シリコン、酸化ランタン、酸化ランタン・アルミニウム、酸化ジルコニウム、酸化ジルコニウム・シリコン、酸窒化ジルコニウム・シリコン、酸化タンタル、酸化チタン、酸化バリウム・ストロンチウム・チタン、酸化バリウム・チタン、酸化ストロンチウム・チタン、酸化イットリウム、酸化アルミニウム、酸化鉛スカンジウム・タンタル、およびニオブ酸鉛亜鉛などの金属酸化物が含まれるが、これらに限定されない。高k材料は、例えば、ランタンおよびアルミニウムなどのドーパントをさらに含むことができる。
ゲート誘電体層は、適切な堆積プロセス、例えば、化学気相堆積(CVD)、プラズマ化学気相堆積(PECVD)、原子層堆積(ALD)、蒸着、物理気相堆積(PVD)、化学溶液堆積、または他の同様のプロセスによって形成することができる。ゲート誘電体材料の厚さは、堆積プロセス、ならびに使用される高k誘電体材料の組成および数に応じて変わってもよい。例示的な一実施形態では、2.5nmの厚さを有する高k誘電体層が用いられる。一部の実施形態では、ゲート誘電体層は、複数の層を含む。
シリコン(チャネル)層21の露出したエッジ上にソース/ドレイン領域32P、32Nをエピタキシャル成長させ、マージすることができる。ソース/ドレイン領域は、底部誘電体分離(BDI)層36によって基板24から電気的に絶縁されている。ソース/ドレイン領域32内のドーパントは、当技術分野で知られているように、適切な前駆体を使用してインサイチュ(in-situ)で組み込まれてもよい。「インサイチュ」とは、ドープ層の導電型を決めるドーパントが、ドープ層を形成するプロセス・ステップ中に、例えばエピタキシャル堆積中に導入されることを意味する。本明細書で使用される場合、「導電型」という用語は、p型またはn型であるドーパント領域を意味する。本明細書で使用される場合、「p型」は、価電子の欠損を生じさせる、真性半導体への不純物の添加を指す。シリコン含有基板において、p型ドーパント、すなわち不純物の例には、ホウ素、アルミニウム、ガリウム、およびインジウムが含まれるが、これらに限定されない。本明細書で使用される場合、「n型」は、真性半導体に自由電子を付与する不純物の添加を指す。シリコン含有基板において、n型ドーパント、すなわち不純物の例には、アンチモン、ヒ素、およびリンが含まれるが、これらに限定されない。シリコンまたはシリコン・ゲルマニウムあるいはその両方のエピタキシを形成する際に使用するのに適した例示的なエピタキシャル成長プロセスには、急速熱化学気相堆積(RTCVD)、低エネルギー・プラズマ堆積(LEPD)、超高真空化学気相堆積(UHVCVD)、大気圧化学気相堆積(APCVD)、および分子線エピタキシ(MBE)が含まれる。nFET領域は、n型ソース/ドレイン領域を有するトランジスタを含むが、pFET領域は、p型ソース/ドレイン領域を含む。BDI層36の使用は、本明細書に記載されるnFETトランジスタおよびpFETトランジスタの製造にとって任意選択である。
ソース/ドレイン・エピタキシに続いて、モノリシック構造体20は、その上にライナとして形成されるコンタクト・エッチング停止層(CESL)をさらに含む。CESLライナ37は、一部の実施形態では、ソース-ドレイン領域32P、32Nの上および間に、窒化ケイ素、シリコン-炭素-ホウ素-窒素(SiCBN)、シリコン・オキシカーバイド(SiOC)、またはシリコン-炭素-オキシニトリド(SiCON)などの低k誘電体材料の共形層を堆積することによって形成される。
次に、ソース-ドレイン領域およびCESLライナ37の上に、層間誘電体(ILD)38、例えば二酸化ケイ素(SiO)または同様の機能特性を有する任意の他の材料が、化学気相堆積(CVD)または任意の他の適切な堆積技術によって形成される。構造体20は、図1Bに示すように、誘電体ゲート側壁スペーサ42およびゲート・キャップ44をさらに含む。このようなスペーサおよびゲート・キャップを形成する技術は、当技術分野で知られている。CESLライナ37は、ゲート側壁スペーサおよびゲート・キャップの上にも延在する。ゲート・キャップが形成されるゲート・キャップ層の平坦化および酸化物充填の堆積に続いて、図1Aおよび図1Bに示すように、上述した要素がILD層38内に埋め込まれる。
図2Aおよび図2Bを参照すると、ILD層38は、例えば、湿式フッ化水素(HF)酸エッチングまたは任意の他の適切なエッチングを使用して選択的に除去される。次いで、CESLライナ37を選択的に除去して、図3Aおよび図3Bに断面で概略的に示すような構造体30を得る。1つまたは複数の実施形態では、湿式リン酸エッチングまたは他の適切なエッチングを使用して、CESLライナ37を選択的に除去することができる。
CVDを用いてチタン(Ti)ライナ46または他の適切な金属ライナを共形に堆積することによって、ソース/ドレイン領域32P、32Nを覆うTSライナを形成することができる。ライナ46の堆積に続いて、プロセスのこの段階またはその後で、アニーリング・ステップを行って、ソース/ドレイン領域上にチタン・シリサイドまたはチタン系のゲルマノ・シリサイド層あるいはその両方を形成することができる。共形膜は、実質的に均一な厚さを有するものとして理解される。nFET領域とpFET領域の両方を含む一部の例示的な構造では、アニーリングによって、nFET領域内のソース/ドレイン領域がTiS層を含むようになり、pFET領域内のソース/ドレイン領域上にチタン・ゲルマノ・シリサイド(Ti(SiGe))層が形成される。以下で使用されるチタン・シリサイドおよび金属シリサイドという用語は、シリコンまたはシリコン・ゲルマニウムのいずれかの上に形成されたシリサイドを包含することが意図されている。Tiライナ46の厚さは、例えば、2~5ナノメートル(2nm~5nm)の範囲にあってもよい。ライナは、図4Aおよび図4Bに示すように、STI領域26、ゲート側壁スペーサ42、およびゲート・キャップ44の上にさらに延在する。これにより、構造体40が得られる。
構造体40上に有機平坦化層(OPL)48を堆積させ、パターニングして、ブロック・マスクを形成する。本発明の一実施形態によると、OPL48は、炭素、水素および窒素を含む有機ポリマであってもよい。OPL材料の非限定的な例としては、JSR HM8006、JSR HM8014、AZ UM10M2、Shin Etsu ODL102、またはJSR、TOK、Sumitomo、およびRohm&Haasなどのベンダから市販されている他の同様の材料が挙げられる。OPL48は、例えばスピン・コーティングによって堆積させることができ、余分な材料はエッチ・バックされる。図5Aおよび図5Bに示すように、OPLの残留部分は、基板24の活性領域24Aの上に延在し、活性領域24Aを保護するブロック・マスクを形成する。ソース/ドレイン領域32P、32N、ソース/ドレイン領域を覆うライナ46の部分、および活性領域上のゲート構造は、パターニングされたOPL内に埋め込まれ、したがって保護されている。パターニングされたOPLは、図5Aに示すように、ソース/ドレイン領域の上に、ならびにソース/ドレイン領域を越えて横方向に延在するが、pFET活性領域とnFET活性領域との間のチタン・ライナ46の水平部分を露出されたままにする。活性領域間に位置するSTI領域26およびゲート構造28の上に延在するチタン・ライナ46の部分は、結果として得られる構造体50においてOPLパターニングに続いて露出される。
活性領域24A間のチタン・ライナ46の露出部分が選択的に除去される。チタン系材料の選択的除去は、例えば、硫酸と過酸化水素の使用を組み合わせた硫酸過酸化物混合物(SPM)を用いた湿式プロセスによって行うことができる。本明細書で使用される場合、材料除去プロセス(例えば、エッチング)に関して「選択的」という用語は、適切なエッチング液の選択により、対象となる材料の材料除去速度(すなわち、エッチング速度)が、材料除去プロセスにさらされる少なくとも別の材料の除去速度を上回り、好ましくは、はるかに上回ることを意味する。それに応じて、図6Aおよび図6Bに断面で概略的に示すような構造体60を得ることができる。構造体60からOPL48を除去すると、基板24の活性領域24Aの上方のチタン被覆ソース/ドレイン領域32P、32Nおよび他の構造体が再び露出する。図7Aおよび図7Bに概略的に示すような構造体70を得ることができる。図7Aに示すように、金属ライナ46は、ここで、STI領域26の上に部分的に延在し、真上にあるソース/ドレイン領域の横方向端部を横方向に越えて延在する水平セグメント46Aを含む。
さらなる有機平坦化層48’を堆積させ、エッチ・バックして、図8Aおよび図8Bに概略的に示すような構造体80を得る。OPL48’は、上述したOPL48と同じ材料を含むことができるが、必ずしもそうである必要はない。OPL48’は、同じやり方でまたは異なる技術を用いて堆積させることができる。図8Aおよび図8Bに示すように、OPL48’の厚さは、チタン(シリサイド)層48を上に含むソース/ドレイン領域32P、32NがOPL内に埋め込まれ、したがって保護されるのに十分である。ゲート構造28およびゲート側壁スペーサ42の一部は、OPLの頂面の上方に延在する。活性領域24Aの上に形成されたチタン被覆ゲート・キャップ44は、完全に露出される。電界効果トランジスタが形成されるウエハ全体にわたって、ゲート・キャップ44の頂面の下方に十分な均一性のリセスされたOPL48’を設けることによって、ゲート・キャップ44上のチタン層46の部分は完全に露出されるが、エピタキシャル・ソース/ドレイン領域32P、32N上のチタン(またはシリサイド)は露出されない。
選択的チタン面取りにより、OPL48’の頂面の上方のチタン・ライナ46の露出部分が除去される。上述したように、チタン系材料の選択的除去は、例えば、硫酸過酸化物混合物(SPM)を用いた湿式プロセスによって行うことができる。それに応じて、図9Aおよび図9Bに断面で概略的に示すような構造体90を得ることができる。チタン・ライナの残留する垂直部分46Bは、ゲート側壁スペーサ42に隣接し、ゲート・キャップ44の下方で終端する。上端部分がゲート・キャップ44に隣接するゲート構造28は、チタン層46の垂直部分46Bの上方に延在する。
第2のOPL48’をアッシングまたは他の適切なプロセスによって除去して、図10Aおよび図10Bに断面で概略的に示すような構造体100を得ることができる。ここで、ライナ46は、複数の個々の連続したセグメントを含み、各セグメントは、金属シリサイド部分と、シリサイド部分から延在する金属部分とを含む。図10Aに示すように、ライナ46のチタン・シリサイド部分は、ソース/ドレイン領域32P、32Nを覆う。ライナ46の横方向に延在する金属(非シリサイド)部分46Aは、底部誘電体分離層36の下方のサブフィン領域から、STI領域26の一部の上に、限られた距離だけ水平方向に延在する。上述したように、ライナ46セグメントの横方向に延在する部分46Aは、関連付けられた電界効果トランジスタのソース/ドレイン領域32P、32Nを越えて横方向にさらに延在する。チタン・ライナ46セグメントの垂直部分46Bは、図10Bに示すように、ゲート構造28の対間に局所化される。各垂直部分46Bは、ゲート側壁スペーサ42に隣接する一対の金属セグメントを含む。垂直部分46Bは、ゲート構造28の頂面およびゲート・キャップ44の完全に下方に位置する。
層間誘電体(ILD)層52を構造体90上に堆積させ、平坦化する。ILD層は、例えば、化学気相堆積(CVD)、プラズマ強化CVD(PECVD)、高周波CVD(RFCVD)、物理気相堆積(PVD)、原子層堆積(ALD)、分子線堆積(MBD)、パルスレーザ堆積(PLD)または液体ソースミスト化学堆積(LSMCD)あるいはその組合せ、スピンオンコーティング、スパッタリングまたはめっきあるいはその組合せを含むが、必ずしもこれらに限定されない堆積技術を用いて堆積させることができる。ILD層52は、例えば、多孔質ケイ酸塩、炭素ドープ酸化物、二酸化ケイ素、酸窒化ケイ素、炭素ドープ酸化ケイ素(SiCOH)、およびそれらの多孔質変形体、シルセスキオキサン、シロキサン、または例えば約2~約4の範囲の誘電率を有する他の誘電体材料などの低k材料(例えば、約4.0未満のk)を含むことができるが、これらに限定されない。
層間誘電体(ILD)層52を構造体90上に共形に堆積させ、それによって、基板24の上方のnFETおよびpFETを含む要素間の空間を充填する。層間誘電体層は、例えば、例示的な一実施形態では、ALD二酸化ケイ素などの共形の酸化物を含むことができる。1つまたは複数の実施形態において、流動性ILD材料が用いられることがある。実質的に炭素を含まない酸化ケイ素(SiO)材料は、例えば、FCVDを使用して堆積させることができる。流動性化学気相堆積(FCVD)は、トレンチ状の形状に自由に流れ込み、ボトム・アップで、ボイドのない、継ぎ目のない充填を実現する液体状の膜を提供する。一部の実施形態では、他の誘電体材料を代わりに用いることができるが、ILD層52と、ゲート側壁スペーサ42、ゲート・キャップ44、および金属シリサイドとの間のエッチング選択性が提供されるべきである。ILD層52は、任意選択で、400℃未満でのUV光アシスト硬化を含む様々な既知の硬化技術を用いて硬化させることができる。次いで、得られた構造体に化学機械平坦化(CMP)が施される。それによって、金属ライナ46の水平部分46AがILD層52とSTI領域26との間に局所化された、図11Aおよび図11Bに概略的に示すような構造体110を得ることができる。
トレンチ開口部は、例えば既知のダマシン技術を用いることによってILD層52内に従来通り形成される。ILD層の堆積に続いて、フォトリソグラフィおよびエッチングのステップが行われる。具体的には、フォトレジスト(図示せず)がILD層上に塗布される。フォトレジストは、コーティングまたはスピンオン技術を含むが、これらに限定されない任意の適切な技術によって塗布することができる。形成されるトレンチ開口部またはコンタクト・ホールあるいはその両方を画定する形状でパターニングされたマスク(図示せず)が、フォトレジストの上に設けられ、マスク・パターンは、フォトリソグラフィ・プロセスを使用してフォトレジストに転写され、フォトレジストの被覆されていない領域に凹部が作成される。続いて、パターニングされたフォトレジストを用いて、トレンチおよびコンタクト・ホールを形成するために典型的に用いられる従来のエッチングによって、ILD誘電体層に同じパターンの凹部を作成する。このようなトレンチおよびコンタクト・ホールは、乾式エッチング(例えば、反応性イオン・エッチング)を用いて形成されてもよい。エッチングは、ILD層52の一部を選択的に除去する。トレンチ開口部54の形成後、フォトレジストは、アッシングまたは他の適切なプロセスによってILD層から剥離することができる。図12Aおよび図12Bに概略的に示すように、結果として得られる構造体120に湿式洗浄が施されてもよい。
レジストが剥離された後、トレンチ(ビア)開口部が洗浄され、次いで、例えば、薄いPVD(例えば、スパッタリングされた)チタン(Ti)層(図示せず)で裏打ちされる。Ti膜は、接着層として機能し、また、界面酸化物を低減することによって、下にある導体へのコンタクト抵抗を減少させる。続いて、窒化チタン(TiN)(図示せず)をスパッタリングまたはCVDのいずれかによって堆積させる。コンタクト金属層56、例えばタングステン(W)またはコバルト(Co)が構造体上に共形に堆積され、ILD層52に形成されたトレンチ54を充填し、金属ライナ46からソース/ドレイン領域32N、32P上に形成された金属シリサイドまたは金属ゲルマノ・シリサイド層あるいはその両方に直接接触する。図13Bに示すように、ライナの垂直延在部分46Bも金属コンタクト層56と接触する。一部の実施形態では、コンタクト金属層は、シランと共に六フッ化タングステン源を用いて堆積させるタングステン(W)層である。300~400℃で、六フッ化タングステン前駆体化学物質を使用して行われる化学気相堆積は、例えば、タングステン層を堆積させるために用いられることがある。コンタクト材料は、例えば、代替として、タンタル(Ta)、アルミニウム(Al)、白金(Pt)、金(Au)、チタン(Ti)、パラジウム(Pd)、またはそれらの任意の組合せを含むことができる。コンタクト材料は、例えば、CVD、PECVD、PVD、めっき、熱もしくは電子ビーム蒸着、またはスパッタリングによって堆積させることができる。図13Aおよび図13Bに概略的に示すような構造体130は、上述したようなトレンチ・シリサイド・メタライゼーションの後に得ることができる。
CMPなどの平坦化プロセスを行って、構造体の頂面から任意の導電性材料(オーバーバーデン)を除去する。ILD層52の厚さはまた、図14Aおよび図14Bに概略的に示すような構造体140を得るために、CMPを用いて低減されてもよい。図14Aに示すように、金属シリサイドは、ソース/ドレイン領域32P、32Nを覆う。図14Bに示すように、ソース/ドレイン領域の周りの金属シリサイド、およびソース/ドレイン領域の上方に延在するライナ46の連続した部分46Bは、ゲート構造28間に局在化される。ライナ46の残留部分はすべて、ゲート構造28の頂面の下方に位置する。ゲート・オール・アラウンド(GAA)アーキテクチャ、ラップ・アラウンド・ソース/ドレイン・コンタクト、および局在化金属/シリサイドを含む半導体構造体140が提供され得る。さらに、このような構造体を製造するためのブロック・マスク・パターニングと面取りとの組合せにより、反転階調パターニングが不要となる。
上述した図面は、例示的な構造体の製造における例示的な処理ステップ/段階を示す。全体的な製造方法およびそれによって形成される構造体は、全く新規なものであるが、本方法を実施するために必要とされる特定の個々の処理ステップは、従来の半導体製造技術および従来の半導体製造ツールを利用することができる。これらの技術およびツールは、本明細書の教示を考慮すれば、当業者には既に馴染みのあるものであろう。さらに、半導体デバイスを製造するために使用される処理ステップおよびツールのうちの1つまたは複数は、例えば、James D.Plummerら、Silicon VLSI Technology:Fundamentals,Practice,and Modeling 1stEdition,Prentice Hall,2001を含む、いくつかの容易に入手可能な出版物にも記載されている。一部の個々の処理ステップが本明細書に記載されているが、これらのステップは単に例示的なものであり、当業者は、適用可能ないくつかの等しく適切な代替形態を熟知している場合があることが強調される。
添付の図面に示される様々な層または領域あるいはその両方は、縮尺通りに描かれていない場合があることを理解されたい。さらに、このような集積回路デバイスまたは他の層において一般に使用されるタイプの1つまたは複数の半導体層は、説明を簡単にするために所与の図に明示的に示されていないことがある。これは、明示的に示されていない半導体層または他の層が実際の集積回路デバイスにおいて省略されていることを意味するものではない。
これまでの議論を考慮すると、一般論として、ラップ・アラウンド・コンタクトを含む半導体構造体を形成する例示的な方法は、第1および第2の活性領域24Aと、第1および第2の活性領域上の電界効果トランジスタ(FET)とを含むモノリシック構造体上に金属ライナを共形に堆積させることを含むことが理解されよう。図3Aおよび図3Bは、ナノシートFETを含む例示的なモノリシック構造体30を示す。FETは、ゲート構造28およびエピタキシャル・ソース/ドレイン領域32Pまたは32Nあるいはその両方を含む。金属シリサイド・ライナは、金属ライナからエピタキシャル・ソース/ドレイン領域上に形成され、ソース/ドレイン領域を覆う。第1および第2の活性領域は、図5Aおよび図5Bに概略的に示すように、パターニングされたブロック・マスク48で保護される。金属ライナは、第1の活性領域と第2の活性領域との間のパターニングされたブロック・マスクによって露出された領域から選択的に除去される。パターニングされたブロック・マスク48を除去した後に、図7Aおよび図7Bに示すような構造体70が得られる。図8Aおよび図8Bに概略的に示すように、保護マスク48’がモノリシック構造体上に形成される。保護マスクは、保護マスクの頂面の完全に下方にあるエピタキシャル・ソース/ドレイン領域を保護する。第1および第2の活性領域の上方の金属ライナ46の部分が露出される。活性領域の上方の金属ライナ46の露出部分が除去され、続いて保護マスク48’が除去される。それに応じて、図10Aおよび図10Bに示すようなモノリシック半導体構造体100を得ることができ、例示的な方法は、図示するようなナノシート・トランジスタ、ならびにFinFETなどの他のタイプのFETに関して適用可能であることが理解される。
例示的な実施形態では、保護マスクを形成することは、モノリシック構造体上に有機平坦化層を堆積し、有機平坦化層をエッチ・バックして、ゲート構造28ならびに関連付けられたゲート側壁スペーサ42およびゲート・キャップ44の頂部を露出させることを含む。本方法は、モノリシック構造体上に層間誘電体層52を形成することと、層間誘電体層をパターニングし、それによって図12Aおよび図12Bに示すようにエピタキシャル・ソース/ドレイン領域32P、32N上の金属シリサイド・ライナを露出させることと、層間誘電体層内に、エピタキシャル・ソース/ドレイン領域上の金属シリサイド・ライナに直接接触する金属コンタクト56を形成することと、を含むことができる。図13Aおよび図13Bは、コンタクト・メタライゼーション後の例示的な構造体130を示す。1つまたは複数の例示的な実施形態におけるモノリシック構造体30は、ゲート構造に隣接するゲート・キャップ44およびゲート側壁スペーサ42をさらに含み、ゲート構造の頂部の上方の金属ライナ46を選択的に除去することは、ゲート・キャップ、およびゲート側壁スペーサの一部から金属ライナを除去することを含む。モノリシック構造体は、1つまたは複数の実施形態において、浅いトレンチ分離領域26を含み、第1の活性領域と第2の活性領域との間の領域から金属ライナ46を選択的に除去することは、浅いトレンチ分離領域26の頂面から金属ライナを除去することを含む。
1つまたは複数の実施形態に従って提供される半導体構造体は、第1の活性領域24Aおよび第2の活性領域24Aを含む半導体基板24と、第1の活性領域と第2の活性領域との間の浅いトレンチ分離領域26とを含む。第1の活性領域上の第1の電界効果トランジスタは、第1の活性領域上のゲート構造28と、チャネル領域(ゲート構造に隣接する層21の領域)と、チャネル領域の両側の一対のエピタキシャル・ソース/ドレイン領域32Pまたは32Nと、を含む。各エピタキシャル・ソース/ドレイン領域は、第1の活性領域上の一対のゲート構造間に位置する。ラップ・アラウンド金属シリサイド・ライナ(共形の金属層46のシリサイド部分)は、第1の電界効果トランジスタの各エピタキシャル・ソース/ドレイン領域に隣接する。誘電体層52は、半導体基板および第1の電界効果トランジスタの上に延在する。金属ライナ部分は、各金属シリサイド・ライナにそれぞれ連続している。各金属ライナ部分は、第1の活性領域上の一対のゲート構造28間に位置し、ソース/ドレイン領域の上方に延在する垂直延在部分46Bを含む。第1の活性領域上のゲート構造28は、垂直延在部分46Bの上方に垂直に延在する。水平方向に延在する金属ライナ部分46Aは、第1の電界効果トランジスタのソース/ドレイン領域の下方に延在し、浅いトレンチ分離領域26と誘電体層52との間に配置される。例えば、図14Aに示すように、各金属ライナ部分の水平延在部分46Aは、直上の第1の電界効果トランジスタのソース/ドレイン領域のうちの1つを越えて横方向に延在する。
上記で説明した技術の少なくとも一部は、集積回路に実装することができる。集積回路を形成する際、同一のダイが、典型的には、半導体ウエハの表面上に繰り返しパターンで製造される。各ダイは、本明細書に記載されるデバイスを含み、他の構造または回路あるいはその両方を含むことができる。個々のダイは、ウエハから切り出されまたはダイシングされた後、集積回路としてパッケージングされる。当業者であれば、ウエハをダイシングし、ダイをパッケージングして集積回路を製造する方法を知っているであろう。
当業者であれば、上述した例示的な構造は、未加工の形態(すなわち、複数のパッケージングされていないチップを有する単一のウエハ)で、ベア・ダイとして、パッケージングされた形態で配布することができ、または例示的な実施形態の1つまたは複数に従って形成されたラップ・アラウンド・コンタクトを有するナノシートまたは他のFETデバイスを有することから利益を得る中間製品または最終製品の一部として組み込むことができることを理解するであろう。
半導体構造体を作成する様々な段階で材料を除去するために、当業者によって使用される多数の技術がある。本明細書で使用される場合、これらのプロセスは、一般に「エッチング」と呼ばれる。例えば、エッチングは、湿式エッチング、乾式エッチング、化学酸化物除去(COR)エッチング、および反応性イオン・エッチング(RIE)の技術を含み、これらはすべて、半導体構造体を形成する際に選択材料を除去するための既知の技術である。標準洗浄1(SC1)は、強塩基、典型的には水酸化アンモニウム、および過酸化水素を含有する。SC2は、塩酸などの強酸、および過酸化水素を含む。エッチングの技術および適用は、当業者にはよく理解されており、したがって、そのようなプロセスのより詳細な説明は、本明細書では提示されない。
本明細書に記載される実施形態の例示は、様々な実施形態の一般的な理解を提供することが意図されており、本明細書に記載される回路および技術を利用し得る装置およびシステムのすべての要素および特徴の完全な説明として役立つことは意図されていない。本明細書の教示を考慮すれば、多くの他の実施形態が当業者には明らかになるであろう。他の実施形態は、本発明の範囲から逸脱することなく構造的および論理的な置換および変更が行われ得るように、利用され、本教示から導出される。一部の代替の実施態様では、例示的な方法のステップの一部は、図に示された順序から外れて行われてもよいことにも留意されたい。例えば、連続して示される2つのステップは、実際には、実質的に同時に実行されてもよく、または、あるステップは、時には、関与する機能性に応じて、逆の順序で実行されてもよい。また、図面は、単に代表的なものであり、縮尺通りには描かれていない。したがって、本明細書および図面は、限定的な意味ではなく例示的な意味で考えられるべきである。
実施形態は、本明細書では、単に便宜上、「実施形態」という用語によって、個々にまたは集合的にあるいはその両方で言及される場合があり、2つ以上の実施形態が実際に示されている場合、本出願の範囲を任意の単一の実施形態または発明概念に限定することを意図していない。したがって、特定の実施形態が本明細書に図示され、説明されたが、同じ目的を達成する構成が、図示された特定の実施形態の代わりになり得ることを理解されたい。本明細書の教示を考慮すれば、上記の実施形態の組合せ、および本明細書に具体的に記載されていない他の実施形態が当業者には明らかになるであろう。
本明細書で使用される用語は、特定の実施形態のみを説明するためのものであり、限定することは意図されていない。本明細書で使用される場合、単数形「1つの(a)」、「1つの(an)」、および「その(the)」は、文脈が明確にそうでないと示さない限り、複数形を同様に含むことが意図されている。用語「備える(comprises)」または「備えている(comprising)」あるいはその両方は、本明細書で使用される場合、述べられた特徴、ステップ、動作、要素、または構成要素、あるいはその組合せの存在を明記するが、1つもしくは複数の他の特徴、ステップ、動作、要素、構成要素、またはそれらのグループ、あるいはその組合せの存在もしくは追加を排除しないことがさらに理解されるであろう。「上方(above)」および「下方(below)」ならびに「垂直(vertical)」などの用語は、相対的な高さではなく、要素または構造の互いに対する相対的な位置を示すために使用される。
以下の特許請求の範囲における任意の手段またはステップ・プラス機能要素の対応する構造、材料、行為、および均等物は、具体的に特許請求されるような他の特許請求される要素と組み合わせて機能を実行するための任意の構造、材料、または行為を含むことが意図されている。様々な実施形態の説明は、例示および説明の目的で提示されたが、網羅的であること、または開示された形態に限定されることは意図されていない。本発明の範囲から逸脱することなく、多くの修正および変形が当業者には明らかであろう。実施形態は、原理および実際の用途を最もよく説明するために、ならびに他の当業者が、企図された特定の使用に適するような様々な修正を伴う様々な実施形態を理解することができるように、選択され、説明された。
要約は、37C.F.R.§1.72(b)に準拠して提供される。本要約は、請求項の範囲または意味を解釈または限定するために使用されないという理解の下で提出される。加えて、上記の発明を実施するための形態では、本開示を合理化する目的で、様々な特徴が単一の実施形態にまとめられていることが分かる。この開示方法は、特許請求される実施形態が各請求項に明示的に列挙されるよりも多くの特徴を必要とするという意図を反映するものとして解釈されるべきではない。むしろ、添付の特許請求の範囲が反映するように、特許請求される主題は、単一の実施形態のすべての特徴よりも少ない特徴に存在する場合がある。したがって、以下の特許請求の範囲は、本明細書によって発明を実施するための形態に組み込まれ、各請求項は、別個に特許請求される主題として独立している。
本明細書に提供される教示を考慮すれば、当業者は、技術および開示された実施形態の他の実施態様および用途を思い浮かべることができるであろう。例示的な実施形態が、添付の図面を参照して本明細書で説明されたが、例示的な実施形態は、それらの厳密な実施形態に限定されず、様々な他の変更および修正が、添付の特許請求の範囲から逸脱することなく当業者によって行われることを理解されたい。

Claims (25)

  1. 半導体構造体であって、
    第1の活性領域および第2の活性領域を含む半導体基板と、
    前記第1の活性領域と前記第2の活性領域との間の浅いトレンチ分離領域と、
    前記第1の活性領域上の複数のゲート構造と、
    前記第1の活性領域上の第1の電界効果トランジスタであり、前記第1の活性領域上の前記ゲート構造のうちの1つ、チャネル領域、および前記チャネル領域の両側の一対のエピタキシャル・ソース/ドレイン領域を備え、前記エピタキシャル・ソース/ドレイン領域の各々が前記第1の活性領域上の一対の前記ゲート構造間に位置する、前記第1の電界効果トランジスタと、
    前記第1の電界効果トランジスタの前記エピタキシャル・ソース/ドレイン領域の各々に隣接するラップ・アラウンド金属シリサイド・ライナと、
    前記半導体基板および前記第1の電界効果トランジスタの上に延在する誘電体層と、
    前記金属シリサイド・ライナの各々にそれぞれ連続する金属ライナ部分であり、前記金属ライナ部分が、
    前記第1の活性領域上の一対の前記ゲート構造間に位置し、前記ソース/ドレイン領域の上方に延在する垂直延在部分、および
    前記第1の電界効果トランジスタの前記ソース/ドレイン領域の下方にあり、前記浅いトレンチ分離領域と前記誘電体層との間に配置された水平延在部分で、各金属ライナ部分の前記水平延在部分が前記第1の電界効果トランジスタの前記ソース/ドレイン領域のうちの1つを越えて横方向に延在する、前記水平延在部分
    を含む、前記金属ライナ部分と、
    を備える、半導体構造体。
  2. 前記第2の活性領域上の複数のゲート構造と、
    前記第2の活性領域上の第2の電界効果トランジスタであって、前記第2の活性領域上の前記ゲート構造のうちの1つ、チャネル領域、および前記チャネル領域の両側の一対のエピタキシャル・ソース/ドレイン領域を備え、前記エピタキシャル・ソース/ドレイン領域の各々が一対の前記ゲート構造間に位置し、前記誘電体層が前記第2の電界効果トランジスタの上に延在する、前記第2の電界効果トランジスタと、
    前記第2の電界効果トランジスタの前記エピタキシャル・ソース/ドレイン領域の各々に隣接するラップ・アラウンド金属シリサイド・ライナと、
    前記第2の電界効果トランジスタの各金属シリサイド・ライナにそれぞれ連続する金属ライナ部分であって、前記金属ライナ部分が、
    前記第2の活性領域上の一対の前記ゲート構造間に位置し、前記第2の電界効果トランジスタの前記ソース/ドレイン領域の上方に延在する垂直延在部分であり、前記第2の活性領域上の前記ゲート構造が前記垂直延在部分の上方に垂直に延在する、前記垂直延在部分、および
    前記第2の電界効果トランジスタの前記ソース/ドレイン領域の下方にあり、前記浅いトレンチ分離領域と前記誘電体層との間に配置された水平延在部分であり、各金属ライナ部分の前記水平延在部分が前記第2の電界効果トランジスタの前記ソース/ドレイン領域のうちの1つを越えて横方向に延在する、前記水平延在部分
    を含む、前記金属ライナ部分と、
    をさらに含む、請求項1に記載の半導体構造体。
  3. 前記誘電体層内の複数の垂直に延在するトレンチと、
    前記トレンチ内のコンタクト金属であって、前記第1および第2の電界効果トランジスタの前記エピタキシャル・ソース/ドレイン領域に隣接する前記金属シリサイド・ライナに接触する、前記コンタクト金属と、
    をさらに含む、請求項2に記載の半導体構造体。
  4. 前記第1の電界効果トランジスタおよび前記第2の電界効果トランジスタがナノシート・トランジスタであり、前記エピタキシャル・ソース/ドレイン領域が、ドープされたファセット構造を含む、請求項3に記載の半導体構造体。
  5. 前記活性領域が半導体フィンを含む、請求項3に記載の半導体構造体。
  6. 前記第1の電界効果トランジスタおよび前記第2の電界効果トランジスタの下方に底部誘電体分離層をさらに含み、前記金属ライナ部分が前記底部誘電体分離層のエッジ部分の上に延在する、請求項5に記載の半導体構造体。
  7. 前記ゲート構造の側壁上にゲート側壁スペーサをさらに含み、前記金属ライナ部分の前記垂直延在部分が前記ゲート側壁スペーサにそれぞれ隣接し、前記第1の活性領域上の前記ゲート構造が前記金属ライナ部分の前記垂直延在部分の上方に垂直に延在する、請求項1に記載の半導体構造体。
  8. 前記金属ライナ部分がチタンを含み、前記第1の電界効果トランジスタがナノシート・トランジスタまたはFinFETトランジスタである、請求項7に記載の半導体構造体。
  9. 半導体構造体であって、
    第1の活性領域および第2の活性領域を含む半導体基板と、
    前記半導体基板全体にわたって延在する複数の並列のゲート構造と、
    前記第1の活性領域上の第1の電界効果トランジスタであり、前記ゲート構造のうちの1つ、チャネル領域、および前記チャネル領域の両側の第1の対のエピタキシャル・ソース/ドレイン領域を備え、前記エピタキシャル・ソース/ドレイン領域の各々が第1の対の前記ゲート構造間に位置する、前記第1の電界効果トランジスタと、
    前記第2の活性領域上の第2の電界効果トランジスタであり、前記ゲート構造のうちの1つ、チャネル領域、および前記チャネル領域の両側の第2の対のエピタキシャル・ソース/ドレイン領域を備え、前記エピタキシャル・ソース/ドレイン領域の各々が第2の対の前記ゲート構造間に位置する、前記第2の電界効果トランジスタと、
    前記第1の電界効果トランジスタおよび前記第2の電界効果トランジスタを覆う誘電体層と、
    前記ソース/ドレイン領域のそれぞれの周りを覆う金属シリサイド・ライナと、
    各金属シリサイド・ライナから延在し、各金属シリサイド・ライナに連続する共形の金属ライナであり、各共形の金属ライナが、前記第1および第2の対の前記ゲート構造のうちの1対の間に置かれ、前記前記ソース/ドレイン領域のうちの1つの上方に垂直に延在する垂直延在部分を含み、前記第1および第2の対の前記ゲート構造が前記共形の金属ライナの前記垂直延在部分の上方に垂直に延在する、前記共形の金属ライナと、
    前記誘電体層を貫いて垂直に延在し、前記金属シリサイド・ライナに接触するソース/ドレイン・コンタクト金属と、
    を備える、半導体構造体。
  10. 前記第1のトランジスタおよび前記第2のトランジスタのそれぞれの前記チャネル領域が、垂直に積層された複数のチャネル層を含む、請求項9に記載の半導体構造体。
  11. 前記ゲート構造のそれぞれがゲート側壁スペーサを含み、さらに、各共形の金属ライナの前記垂直延在部分が一対の垂直セグメントを含み、各垂直セグメントが前記ゲート側壁スペーサのうちの1つに隣接する、請求項9に記載の半導体構造体。
  12. 前記共形の金属ライナが、前記誘電体層の下方で、前記ソース/ドレイン領域のうちの1つを越えて横方向に延在する水平セグメントを含む、請求項11に記載の半導体構造体。
  13. 前記誘電体層の下方にあり、前記第1の活性領域と前記第2の活性領域との間の横方向にある浅いトレンチ分離領域をさらに含み、各共形の金属ライナの前記水平セグメントが、前記誘電体層と前記浅いトレンチ分離領域との間に延在する、請求項12に記載の半導体構造体。
  14. ラップ・アラウンド・コンタクトを含む半導体構造体を形成する方法であって、
    モノリシック構造体上に金属ライナを共形に堆積することであり、前記モノリシック構造体が、
    第1および第2の活性領域、ならびに
    ゲート構造およびエピタキシャル・ソース/ドレイン領域を含む、前記第1および第2の活性領域上の電界効果トランジスタ
    を含む、前記共形に堆積することと、
    前記金属ライナから前記エピタキシャル・ソース/ドレイン領域上に金属シリサイド・ライナを形成し、前記エピタキシャル・ソース/ドレイン領域を覆うことと、
    パターニングされたブロック・マスクで前記第1および第2の活性領域を保護することと、
    前記第1の活性領域と前記第2の活性領域との間の前記パターニングされたブロック・マスクによって露出された領域から前記金属ライナを選択的に除去することと、
    前記パターニングされたブロック・マスクを除去することと、
    前記エピタキシャル・ソース/ドレイン領域が前記保護マスクの頂面の完全に下方になるように、ならびに前記第1および第2の活性領域の上方の前記金属ライナの部分が露出されるように、前記モノリシック構造体上に保護マスクを形成することと、
    前記第1および第2の活性領域の上方の前記金属ライナの前記露出部分を選択的に除去することと、
    前記保護マスクを除去することと、
    を含む、方法。
  15. 前記保護マスクを形成することが、
    前記モノリシック構造体上に有機平坦化層を堆積することと、
    前記ゲート構造の一部が前記保護マスクの前記頂面の上方に延在するように、前記有機平坦化層をエッチ・バックすることと、
    を含む、請求項14に記載の方法。
  16. 前記活性領域の上に層間誘電体層を形成することと、
    前記層間誘電体層をパターニングし、それによって、前記エピタキシャル・ソース/ドレイン領域上の前記金属シリサイド・ライナを露出させることと、
    前記層間誘電体層内に、前記エピタキシャル・ソース/ドレイン領域上の前記金属シリサイド・ライナに直接接触する金属コンタクトを形成することと、
    をさらに含む、請求項15に記載の方法。
  17. 前記モノリシック構造体が、前記ゲート構造に隣接するゲート・キャップおよびゲート側壁スペーサをさらに含み、さらに、前記第1および第2の活性領域の上方の前記金属ライナの前記露出部分を選択的に除去することが、前記ゲート・キャップ、および前記ゲート側壁スペーサの頂部から前記金属ライナを除去することを含む、請求項16に記載の方法。
  18. 前記モノリシック構造体が、前記第1の活性領域と前記第2の活性領域との間に浅いトレンチ分離領域を含み、さらに、前記第1の活性領域と前記第2の活性領域との間の領域から前記金属ライナを選択的に除去することが、前記浅いトレンチ分離領域の頂面から前記金属ライナを除去することを含む、請求項17に記載の方法。
  19. 前記モノリシック構造体が、前記第1および第2の活性領域ならびに前記浅いトレンチ分離領域の上に延在するコンタクト・エッチング停止ライナをさらに含み、
    前記コンタクト・エッチング停止ライナを除去し、前記コンタクト・エッチング停止ライナを前記金属ライナで置き換えること
    をさらに含む、請求項18に記載の方法。
  20. 前記電界効果トランジスタがナノシート・トランジスタまたはFinFETトランジスタを含む、請求項18に記載の方法。
  21. 前記電界効果トランジスタがナノシート・トランジスタまたはFinFETトランジスタを含み、前記エピタキシャル・ソース/ドレイン領域が、ドープされたファセット半導体領域を含み、さらに、前記金属ライナを共形に堆積することにより、前記金属ライナが前記ドープされたファセット半導体領域を覆う、請求項14に記載の方法。
  22. 前記パターニングされたブロック・マスクが、前記エピタキシャル・ソース/ドレイン領域を完全に覆い、前記エピタキシャル・ソース/ドレイン領域を越えて横方向に延在する、請求項21に記載の方法。
  23. 前記モノリシック構造体が前記ゲート構造に隣接するゲート・キャップおよびゲート側壁スペーサをさらに含み、
    前記保護マスクを形成することが、前記モノリシック構造体上に有機平坦化層を堆積し、前記有機平坦化層をエッチ・バックし、それによって、前記ゲート・キャップ、および前記ゲート側壁スペーサの頂部を露出させることを含み、
    前記第1および第2の活性領域の上方の前記金属ライナの前記露出部分を選択的に除去することが、前記ゲート・キャップ、および前記ゲート側壁スペーサの前記頂部から前記金属ライナを除去することを含む、
    請求項22に記載の方法。
  24. ラップ・アラウンド・コンタクトを含む半導体構造体を形成する方法であって、
    第1および第2の活性領域、
    ゲート構造、前記ゲート構造の上方のゲート・キャップ、前記ゲート構造の側壁上のゲート側壁スペーサ、およびゲート構造の対間のエピタキシャル・ソース/ドレイン領域を含む、前記第1および第2の活性領域上の電界効果トランジスタ、ならびに
    前記エピタキシャル・ソース/ドレイン領域上の、前記エピタキシャル・ソース/ドレイン領域を覆う第1のライナ部分、ならびに前記第1の活性領域と前記第2の活性領域との間で前記ゲート・キャップおよびゲート側壁スペーサの上に延在する金属ライナを含む連続したライナ、
    を含む、モノリシック構造体を得ることと、
    パターニングされたブロック・マスクで前記第1および第2の活性領域を保護することと、
    前記第1の活性領域と前記第2の活性領域との間の前記パターニングされたブロック・マスクによって露出された領域から前記金属ライナを選択的に除去することと、
    前記パターニングされたブロック・マスクを除去することと、
    前記ゲート・キャップ、および前記ゲート側壁スペーサの頂部が保護マスクの頂面の上方に延在し、前記エピタキシャル・ソース/ドレイン領域が前記保護マスクの前記頂面の下方になるように、前記モノリシック構造体上に前記保護マスクを形成することと、
    前記ゲート側壁スペーサの前記頂部および前記ゲート・キャップから前記金属ライナを選択的に除去することと、
    前記保護マスクを除去することと、
    を含む、方法。
  25. 前記電界効果トランジスタがナノシート・トランジスタまたはFinFETトランジスタを含み、
    前記第1のライナ部分から金属シリサイド・ライナを形成することと、
    前記第1および第2の活性領域の上に層間誘電体層を形成することと、
    前記層間誘電体層をパターニングし、それによって、前記エピタキシャル・ソース/ドレイン領域上の前記金属シリサイド・ライナを露出させることと、
    前記層間誘電体層内に、前記エピタキシャル・ソース/ドレイン領域上の前記金属シリサイド・ライナに直接接触する金属コンタクトを形成することと、
    をさらに含む、請求項24に記載の方法。
JP2023534220A 2020-12-16 2021-10-20 局所化された金属シリサイドを含むラップ・アラウンド・コンタクト Pending JP2023554273A (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US17/124,458 US11424367B2 (en) 2020-12-16 2020-12-16 Wrap-around contacts including localized metal silicide
US17/124,458 2020-12-16
PCT/CN2021/124804 WO2022127344A1 (en) 2020-12-16 2021-10-20 Wrap-around contacts including localized metal silicide

Publications (1)

Publication Number Publication Date
JP2023554273A true JP2023554273A (ja) 2023-12-27

Family

ID=81943546

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2023534220A Pending JP2023554273A (ja) 2020-12-16 2021-10-20 局所化された金属シリサイドを含むラップ・アラウンド・コンタクト

Country Status (10)

Country Link
US (1) US11424367B2 (ja)
JP (1) JP2023554273A (ja)
KR (1) KR20230093333A (ja)
CN (1) CN116648791A (ja)
CA (1) CA3192555A1 (ja)
DE (1) DE112021005857T5 (ja)
GB (1) GB2617497A (ja)
IL (1) IL302387A (ja)
MX (1) MX2023007121A (ja)
WO (1) WO2022127344A1 (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117116942A (zh) * 2023-08-07 2023-11-24 北京大学 半导体结构的制备方法、以及半导体结构

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9508858B2 (en) 2014-11-18 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Contacts for highly scaled transistors
US9953979B2 (en) 2014-11-24 2018-04-24 Qualcomm Incorporated Contact wrap around structure
US9397197B1 (en) 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET
KR102527382B1 (ko) * 2016-06-21 2023-04-28 삼성전자주식회사 반도체 소자
US10074727B2 (en) 2016-09-29 2018-09-11 International Business Machines Corporation Low resistivity wrap-around contacts
US10263073B2 (en) 2016-11-29 2019-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. III-V semiconductor layers, III-V semiconductor devices and methods of manufacturing thereof
US9847390B1 (en) * 2017-02-16 2017-12-19 Globalfoundries Inc. Self-aligned wrap-around contacts for nanosheet devices
US10193090B2 (en) 2017-06-20 2019-01-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10347744B1 (en) 2018-01-09 2019-07-09 International Business Machines Corporation Method and structure of forming FinFET contact
US10424651B2 (en) 2018-01-26 2019-09-24 International Business Machines Corporation Forming nanosheet transistor using sacrificial spacer and inner spacers
US10236218B1 (en) 2018-02-20 2019-03-19 Globalfoundries Inc. Methods, apparatus and system for forming wrap-around contact with dual silicide
US11756996B2 (en) 2018-04-20 2023-09-12 International Business Machines Corporation Formation of wrap-around-contact for gate-all-around nanosheet FET
US10559656B2 (en) 2018-05-02 2020-02-11 Globalfoundries Inc. Wrap-all-around contact for nanosheet-FET and method of forming same
US11245005B2 (en) 2018-05-14 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure with extended contact structure
US10276442B1 (en) * 2018-05-30 2019-04-30 Globalfoundries Inc. Wrap-around contacts formed with multiple silicide layers
US10504794B1 (en) 2018-06-25 2019-12-10 International Business Machines Corporation Self-aligned silicide/germanide formation to reduce external resistance in a vertical field-effect transistor
KR102473659B1 (ko) * 2018-08-08 2022-12-02 삼성전자주식회사 반도체 소자
US10804398B2 (en) * 2018-10-15 2020-10-13 Globalfoundries Inc. Method of forming wrap-around-contact and the resulting device
US11088337B2 (en) 2018-11-20 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing a field effect transistor using carbon nanotubes and field effect transistors

Also Published As

Publication number Publication date
GB202310294D0 (en) 2023-08-16
GB2617497A (en) 2023-10-11
CN116648791A (zh) 2023-08-25
US11424367B2 (en) 2022-08-23
US20220190161A1 (en) 2022-06-16
WO2022127344A1 (en) 2022-06-23
MX2023007121A (es) 2023-06-26
CA3192555A1 (en) 2022-06-23
KR20230093333A (ko) 2023-06-27
DE112021005857T5 (de) 2023-08-24
IL302387A (en) 2023-06-01

Similar Documents

Publication Publication Date Title
CN108431953B (zh) 垂直晶体管制造和器件
US9659963B2 (en) Contact formation to 3D monolithic stacked FinFETs
US10516028B2 (en) Transistor with asymmetric spacers
US20190319105A1 (en) Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
US7608890B2 (en) Semiconductor device and method of manufacturing semiconductor device
KR101713422B1 (ko) 핀의 보호층을 포함하는 핀 구조 전계 효과 트랜지스터 소자 구조체 및 그 형성방법
US10741652B2 (en) Wrap-around-contact structure for top source/drain in vertical FETs
CN108122846A (zh) 包括鳍式场效应晶体管的半导体器件及其形成方法
CN111128887B (zh) 形成半导体器件的方法
US10276574B2 (en) Semiconductor device and manufacturing method thereof
KR102331059B1 (ko) 반도체 디바이스 및 방법
CN113270473A (zh) 半导体装置及其形成方法
US10847634B2 (en) Field effect transistor and method of forming the same
US10790283B2 (en) Semiconductor device and manufacturing method thereof
CN103811538B (zh) 具有器件收益和生产率改进的金属栅极结构
WO2022127344A1 (en) Wrap-around contacts including localized metal silicide
US20230361114A1 (en) Semiconductor structure and methods of forming the same
US20230207622A1 (en) Semiconductor structure having stacked power rails
US20240063121A1 (en) Backside contact for semiconductor device
US20240072133A1 (en) Backside and frontside contacts for semiconductor device
US20230411217A1 (en) Semiconductor device and method for forming the same
US20220328626A1 (en) Contact Structures for Semiconductor Devices
TW202234726A (zh) 半導體裝置及用於製造奈米片中之電容器之方法
TW202221772A (zh) 填充結構及其製造方法
TW202131521A (zh) 半導體裝置

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230702

RD16 Notification of change of power of sub attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7436

Effective date: 20230711

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20240307