KR20040019913A - 반도체 장치 및 그 제조 방법 - Google Patents

반도체 장치 및 그 제조 방법 Download PDF

Info

Publication number
KR20040019913A
KR20040019913A KR1020030058227A KR20030058227A KR20040019913A KR 20040019913 A KR20040019913 A KR 20040019913A KR 1020030058227 A KR1020030058227 A KR 1020030058227A KR 20030058227 A KR20030058227 A KR 20030058227A KR 20040019913 A KR20040019913 A KR 20040019913A
Authority
KR
South Korea
Prior art keywords
gate electrode
semiconductor
film
semiconductor film
semiconductor substrate
Prior art date
Application number
KR1020030058227A
Other languages
English (en)
Inventor
모리도시후미
Original Assignee
후지쯔 가부시끼가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 후지쯔 가부시끼가이샤 filed Critical 후지쯔 가부시끼가이샤
Publication of KR20040019913A publication Critical patent/KR20040019913A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66492Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a pocket or a lightly doped drain selectively formed at the side of the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

단채널 효과를 저감시키는 것이 가능한 엘레베이티드 소스 드레인 구조를 갖는 반도체 장치를 제공하는 것을 과제로 한다. 반도체 기판 표면의 일부의 영역 위에, 게이트 절연막을 개재하여 게이트 전극이 형성되어 있다. 반도체 기판의 표면 중, 게이트 전극의 양측에, 이 게이트 전극으로부터 임의의 간격을 사이에 두고, 반도체 재료로 형성된 제1 반도체막이 배치되어 있다. 제1 반도체막의 각각의 내부에 불순물 확산 영역이 형성되어 있다. 반도체 기판의 표층부 중 게이트 전극의 양측에, 익스텐션부가 형성되어 있다. 익스텐션부는, 불순물 확산 영역과 동일 도전형이며, 대응하는 측의 불순물 확산 영역에 접속되어 있다. 게이트 전극의 측면 위에 절연 재료로 형성되고, 제1 반도체막의 게이트 전극측의 엣지 위로 연장되며, 이 제1 반도체막의 일부를 덮는 측벽 스페이서가 배치되어 있다.

Description

반도체 장치 및 그 제조 방법{SEMICONDUCTOR DEVICE AND MANUFACTURING MEHTOD THEREOF}
본 발명은 반도체 장치 및 그 제조 방법에 관한 것으로, 특히 엘레베이티드 소스 드레인(Elevated Source/Drain) 구조를 갖는 MOS형 반도체 장치 및 그 제조 방법에 관한 것이다.
반도체 집적 회로 장치의 고속화 및 고집적화에 수반하여, 게이트 길이의 단축화 및 기생 저항의 저감이 요구되고 있다. 단채널 효과를 억제하기 위해, 소스 및 드레인 영역을 얕게 할 필요가 있다. 소스 및 드레인 영역을 얕게 함에 따른 시트 저항의 증가를 억제하기 위해, 소스 및 드레인 영역 위에 고융점 금속 실리사이드층을 형성하는 기술이 채용된다.
그런데, 얕은 소스 및 드레인 영역 위에 고융점 금속 실리사이드층을 형성하면, 접합 누설 전류가 증대된다. 고융점 금속 실리사이드층을 형성하여도, 접합 누설 전류의 증가를 유발하지 않는 엘레베이티드 소스 드레인 구조를 갖는 MOS형 반도체 장치가 제안되어 있다.
소스 및 드레인의 불순물을 주입한 후, 소스 및 드레인 영역 위에 선택적으로 반도체막을 에피택셜 성장시켜서 엘레베이티드 구조를 형성하는 기술이 알려져 있다. 이 방법에서는 소스 및 드레인 영역에 주입되어 있는 불순물의 가로 방향의 확산을 억제하기 위해, 에피택셜 성장 온도를 600℃ 이하로 하는 것이 바람직하다.성장 온도를 높게 할 수 없기 때문에, 반도체막의 성장 속도가 늦어진다. 이 때문에, 이 방법은 양산에 적합하지 않다.
또한, 에피택셜 성장 전에, 소스 및 드레인 영역의 표면에 형성되어 있는 자연 산화막을 제거하기 위해서, 수소 분위기 속에서 열 처리가 행해진다. 자연 산화막의 제거 효과를 높이기 위해서는, 열 처리 온도를 700∼900℃로 하는 것이 바람직하다. 그런데, 소스 및 드레인 영역의 불순물의 가로 방향의 확산을 억제하기 위해서, 열 처리 온도를 600℃ 이상으로 하는 것은 바람직하지 못하다. 열 처리 온도를 600℃ 이하로 하면, 자연 산화막의 충분한 제거 효과를 기대할 수 없다.
엘레베이티드 소스 드레인 구조를 형성한 후에, 소스 및 드레인의 불순물 확산 영역을 형성함으로써, 이 불순물 확산 영역의 가로방향의 확대를 방지할 수 있다. 그런데, 엘레베이티드 소스 드레인 구조 형성 전에 저농도 드레인(LDD) 구조의 익스텐션부가 형성되어 있는 경우에는, 익스텐션부의 불순물이 가로방향으로 확산된다. 이 때문에, 단채널 효과 억제의 충분한 효과는 기대할 수 없다.
일본 특허 공개2000-150886호 공보의 도 12 및 그 관련 개소에, 상기 과제를 해결하는 것이 가능한 엘레베이티드 소스 드레인 구조의 MOS 트랜지스터의 제조 방법이 개시되어 있다. 이 방법에 의하면, 먼저, 게이트 전극의 측면을 덮는 측벽 스페이서 및 게이트 전극 위의 절연막을 마스크로 하여, 소스 및 드레인 영역 위에 선택 에피택셜 성장을 행한다. 그 후, 소스 및 드레인을 형성하기 위한 불순물 확산을 행하고, 에피택셜 성장층 위에 티탄 실리사이드층을 형성한다.
측벽 스페이서를 제거한 후, LDD 구조의 익스텐션부를 형성하기 위한 불순물주입을 행한다. 950℃에서 30분간의 열 처리를 행함으로써, 익스텐션부에 주입된 불순물을 확산시키고, 익스텐션부를 소스 및 드레인 영역에 연속시킨다.
일본 특허 공개2000-150886호 공보에 개시된 방법에서는, 익스텐션부를 소스 및 드레인 영역에 연속시키기 위한 열 처리 시에, 익스텐션부의 불순물이 채널측으로도 확산된다. 이 때문에, 단채널 효과가 커지게 된다. 또한, 티탄 실리사이드층의 형성 후에, 익스텐션부의 불순물 확산을 위한 열 처리를 행하기 때문에, 티탄 실리사이드의 응집이 발생되기 쉽다. 티탄 실리사이드의 응집이 발생되면, 소스 및 드레인 영역의 시트 저항이 커지게 된다. 또한, 이 방법에서는, 게이트 전극 위에 티탄 실리사이드층이 형성되지 않는다. 이 때문에, 게이트 배선의 저저항화를 기대할 수 없다.
본 발명의 목적은, 단채널 효과를 저감시키는 것이 가능한 엘레베이티드 소스 드레인 구조를 갖는 반도체 장치 및 그 제조 방법을 제공하는 것이다.
도 1은 제1 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 기판의 단면도(그 1).
도 2는 제1 실시예에 따른 반도체 장치의 제조 방법을 설명하기 위한 기판의 단면도(그 2).
도 3은 제1 실시예의 변형예에 따른 반도체 장치의 단면도.
〈도면의 주요 부분에 대한 부호의 설명〉
1 : 반도체 기판
2 : 소자 분리 절연막
3 : 게이트 절연막
4 : 게이트 전극
5 : 마스크막
8, 18 : 측벽 스페이서
10 : 에피택셜층
15 : 익스텐션부
19 : 소스 및 드레인 영역
20 : 금속 실리사이드막
본 발명의 일 관점에 의하면, 반도체 기판 표면의 일부의 영역 위에, 게이트 절연막을 개재하여 형성된 게이트 전극과, 상기 반도체 기판의 표면 중, 상기 게이트 전극의 양측에, 이 게이트 전극으로부터 임의의 간격을 사이에 두고 배치되고, 반도체 재료로 형성된 제1 반도체막과, 상기 제1 반도체막의 각각의 내부에 형성된 불순물 확산 영역과, 상기 반도체 기판의 표층부 중, 상기 게이트 전극의 양측에 배치되며, 상기 불순물 확산 영역과 동일 도전형의 불순물이 첨가되고, 대응하는측의 상기 불순물 확산 영역에 접속된 익스텐션부와, 상기 게이트 전극의 측면 위에 절연 재료로 형성되고, 상기 제1 반도체막의 게이트 전극측의 엣지 위로 연장되며, 이 제1 반도체막의 일부를 덮는 측벽 스페이서를 갖는 반도체 장치가 제공된다.
제1 반도체막의 일부를 덮는 측벽 스페이서를 마스크로 하여 소스 및 드레인의 불순물 확산 영역을 형성하기 위한 불순물 주입을 행하면, 불순물의 가로방향 확산에 의한 단채널 효과의 증대를 억제할 수 있다.
본 발명의 다른 관점에 의하면, (a) 반도체 기판의 일부의 영역 위에, 게이트 절연막, 및 그 위에 배치된 게이트 전극을 형성하는 공정과, (b) 상기 게이트 전극의 측면 위에 제1 측벽 스페이서를 형성하는 공정과, (c) 상기 게이트 전극 및 상기 제1 측벽 스페이서로 덮혀 있지 않은 상기 반도체 기판의 표면 위에, 반도체 재료로 이루어지는 제1 반도체막을 성장시키는 공정과, (d) 상기 제1 측벽 스페이서를 제거하는 공정과, (e) 상기 게이트 전극을 마스크로 하여, 상기 반도체 기판의 표층부 및 상기 제1 반도체막의 표층부에, 제1 도전형의 불순물을 주입하는 공정과, (f) 상기 게이트 전극의 측면 위에, 적어도 상기 제1 반도체막의 게이트 전극측의 엣지까지 도달하는 제2 측벽 스페이서를 형성하는 공정과, (g) 상기 제1 반도체막 중 상기 제2 측벽 스페이서로 덮혀 있지 않은 영역에, 제1 도전형의 불순물을 주입하는 공정과, (h) 상기 공정 (d) 및 공정 (g)에서 주입된 불순물을 활성화시키기 위한 열 처리를 행하는 공정을 포함하는 반도체 장치의 제조 방법이 제공된다.
제1 반도체막을 성장시킨 후, 소스 및 드레인 영역 형성을 위한 불순물 주입이 행해진다. 주입된 불순물이, 제1 반도체막의 성장 시의 열 이력을 경험하지 않기 때문에, 불순물의 가로 방향 확산을 억제하는 것이 가능한다.
이하 본 발명의 실시예를 도면을 참조하여 상세히 설명한다.
도 1 및 도 2를 참조하여, 본 발명의 실시예에 따른 반도체 장치의 제조 방법에 대하여 설명한다.
도 1의 (a)에 도시한 바와 같이, 실리콘으로 이루어지는 반도체 기판(1)의 표층부에, 실리콘 국소 산화(LOCOS) 또는 STI(Shallow Trench Isolation)에 의해 소자 분리 절연막(2)을 형성한다. 소자 분리 절연막(2)에 의해 활성 영역이 구획된다. 반도체 기판(1)의 표면을 열 산화함으로써, 활성 영역의 표면 위에, 게이트 절연막이 되는 예를 들면 두께 약 2㎚의 산화실리콘막을 형성한다.
반도체 기판(1)의 위에, 화학 기상 성장(CVD)에 의해 두께 70∼120㎚의 다결정 실리콘막을 형성한다. 또, 다결정 실리콘막 대신에 비정질 실리콘막을 형성하여도 된다. 또한, 다결정 실리콘막의 위에, CVD에 의해 두께 20∼40㎚의 질화실리콘막을 형성한다. 게이트 전극이 되는 영역을 레지스트막으로 덮어 질화실리콘막으로부터 게이트 절연막까지의 3층을 드라이 에칭함으로써, 질화실리콘으로 이루어지는 마스크막(5), 다결정 실리콘으로 이루어지는 게이트 전극(4) 및 산화실리콘으로 이루어지는 게이트 절연막(3)을 남긴다.
도 1의 (b)에 도시한 바와 같이, 기판 전면 위에 감압 CVD에 의해 두께 20∼40㎚의 질화실리콘막을 퇴적시켜서 이방성의 드라이 에칭을 행함으로써, 게이트 전극(4)의 측면 위에 측벽 스페이서(8)를 남긴다. 또, 질화실리콘막의 퇴적 전에 원료로서 TEOS(Tetra Ethl Ortho Silicate)를 이용한 감압 CVD에 의해, 두께 5㎚ 정도의 산화실리콘막을 형성해 두어도 된다.
열 산화에 의해 형성된 산화실리콘막을 5㎚ 정도 에칭하는 조건으로, 희불산을 이용하여 반도체 기판(1)의 표면 처리를 행한다. 또한, 수소 가스 분위기 속에서, 압력 약 1×104Pa(약 80Torr), 온도 750℃, 수소 가스 유량 20slm의 조건으로, 120초간의 열 처리를 행한다. 이것에 의해, 기판 위에 형성되어 있는 자연 산화막이 제거된다.
소자 분리 절연막(2), 측벽 스페이서(8), 및 마스크막(5)을 마스크로 하여, 반도체 기판(1)의 표면 위에 선택적으로 실리콘을 에피택셜 성장시켜서 두께 20∼70㎚의 에피택셜층(10)을 형성한다. 피택셜층(10)의 성장은, 수소 가스 유량 20slm, 디크로르실란(SiH2Cl2) 유량 100sc㎝, 염화수소(HCl) 유량 30sc㎝, 압력 5.3×103pa(40Torr), 온도 800℃에서의 조건으로 CVD에 의해 행할 수 있다. 이러한 조건에서 300초간의 성장을 행하면, 약 60㎚의 에피택셜층이 형성된다.
또, 성장 시의 압력을 보다 낮게 한 UHV(Ultra High Vacuum)-CVD에 의해 에피택셜층을 형성하여도 된다. 또한, 원료 가스로서, 실란(SiH4), 디실란(Si2H6) 및 염소(Cl2)를 이용하는 것도 가능하다.
도 1의 (c)에 도시한 바와 같이, 도 1의 (b)에 도시한 마스크막(5)과 측벽스페이서(8)를, 열 인산에 의해 제거한다. 이것에 의해, 게이트 전극(4)의 양측에 반도체 기판(1)의 표면이 노출된다. 측벽 스페이서(8)를 형성하기 위한 질화실리콘막의 퇴적 전에, 두께 5㎚ 정도의 산화실리콘막을 형성해 두면, 열 인산에 의한 에칭 시에, 이 산화실리콘막이 반도체 기판(1)의 표면 보호막으로서 기능한다. 이 산화실리콘막은 불산으로 제거한다.
N채널 MOS 트랜지스터를 형성하는 경우에는, 가속 에너지 4keV, 도우즈량 1.2×1015-2의 조건으로 비소(As) 이온을 주입한다. 또, P 채널 MOS 트랜지스터를 형성하는 경우에는, 가속 에너지 3keV, 도우즈량 1×1015-2의 조건으로 붕소(B) 이온을 주입한다. 이 이온 주입에 의해, 게이트 전극(4)의 양측의 기판 표층부에, 소스 및 드레인 영역의 익스텐션부(15)가 형성된다. 또, 불순물은 에피택셜층(10)의 표층부에도 주입된다.
도 2의 (d)에 도시한 바와 같이, 게이트 전극(4)의 측면 위에 측벽 스페이서(18)를 다시 형성한다. 측벽 스페이서(18)는, 게이트 전극(4)의 양측의 반도체 기판 표면을 덮음과 함께, 에피택셜층(10)의 게이트 전극측의 엣지 위로 연장되며, 에피택셜층(10)의 일부를 덮고 있다. 예를 들면, 도 1의 (b)에 도시한 측벽 스페이서(8)의 두께가 30㎚일 때, 2회째에 형성하는 측벽 스페이서(18)의 두께를 50㎚로 한다. 측벽 스페이서(18)는, 산화실리콘으로 형성하여도 되며, 질화실리콘으로 형성하여도 된다. 또한, 산화실리콘막과 질화실리콘막과의 2층 구조로 하여도 된다.
N채널 MOS 트랜지스터를 형성하는 경우에는, 측벽 스페이서(218)를 마스크로 하여, 에피택셜층(10)에, 가속 에너지 6keV, 도우즈량 8×1015-2의 조건으로 인(P) 이온을 주입한다. 이 때, 게이트 전극에도 인이 도핑된다. 또, P 채널 MOS 트랜지스터를 형성하는 경우에는, 가속 에너지 4keV, 도우즈량 4×1015-2의 조건으로, 붕소 이온을 주입한다. 이것에 의해, 소스 및 드레인 영역(19)이 에피택셜층(10) 내 및 반도체 기판(1)의 표층부에 형성된다. 이온 주입 후, 활성화 열 처리를 950∼1050℃의 RTA(Rapid Thermal Annealing)에 의해 행한다. 어닐링 시간은 0∼10초 정도이다.
도 2의 (e)에 도시한 상태에 이르기까지의 공정을 설명한다. 기판의 전면 위에 티타늄막을 형성하여, 열 처리를 행한다. 이것에 의해, 게이트 전극(4)의 상면, 및 에피택셜층(10)의 표면에, 티탄 실리사이드로 이루어지는 금속 실리사이드막(20)이 형성된다. 열 처리 후 미반응의 티타늄막을 제거한다. 또, 금속 실리사이드막(20)을, 코발트 실리사이드나 니켈 실리사이드로 형성하여도 된다.
상기 실시예에서는, 에피택셜층(10)을 형성한 후에, 익스텐션부(15), 소스 및 드레인 영역(19)을 형성하기 위한 이온 주입이 행해진다. 주입된 불순물이 에피택셜 성장 시의 열 이력을 경험하지 않기 때문에, 불순물의 가로 방향 확산을 억제할 수 있다. 또, 에피택셜 성장을 700℃ 이상의 고온에서 행할 수 있다. 이것에 의해, 성장 속도를 빨리 할 수 있다. 또한, 에피택셜 성장 전의 자연 산화막 제거를 위한 수소 분위기에서의 열 처리를, 700℃ 이상의 고온에서 행할 수 있다.이것에 의해, 재현성 좋게 자연 산화막을 제거할 수 있다.
또한, 상기 실시예에서는, 이온 주입한 불순물의 활성화 열 처리 후에, 금속 실리사이드막(20)을 형성한다. 금속 실리사이드막(20)이, 활성화 열 처리의 고온분위기에 노출되어지지 않기 때문에, 금속 실리사이드의 응집을 방지할 수 있다.
또한, 상기 실시예에서는, 소스 및 드레인 영역(19)을 형성하는 이온 주입을 행할 때의 마스크로 하여 사용되는 측벽 스페이서(18)가 에피택셜층(10)의 게이트 전극측의 일부에 가설되어 있다. 이 때문에, 소스 및 드레인 영역의 불순물이 가로방향으로 확산되었다고 해도, 채널 영역의 극 근방까지는 도달되기 어렵다. 따라서, 펀치 스루를 발생시키지 않고, 소스 및 드레인 영역(19)의 불순물 농도를 높일 수 있다. 불순물 농도를 높게 함으로써, 금속 실리사이드막(20)을 형성함에 따른 접합 누설 전류의 증대를 방지할 수 있다.
도 3은 상기 실시예의 변형예에 따른 반도체 장치의 단면도를 도시한다. 상기 실시예에서는, 도 2의 (e)에 도시한 바와 같이, 소스 및 드레인 영역(19)이 반도체 기판(1)의 표층부까지 도달하고 있었다. 도 3에 도시한 변형예에서는, 에피택셜층(10)의 게이트 전극측의 일부분에서, 소스 및 드레인 영역(19)이, 에피택셜층(10) 내에 남아 있어, 반도체 기판(1)의 표층부까지 침입하지 않는다. 그 밖의 구성은, 도 2의 (e)에 도시한 실시예의 반도체 장치의 구성과 마찬가지이다.
도 3에 도시한 변형예의 경우에는, 소스 및 드레인 영역(19) 내의 불순물이 가로 방향으로 확산되었다고 해도, 그 대부분은, 에피택셜층(10) 중 측벽 스페이서(18)로 덮혀 있는 부분으로 침입하고, 반도체 기판(1)의 표층부에는 침입하지 않는다. 이 때문에, 펀치 스루의 방지 효과를 보다 높이는 것이 가능한다.
이상 실시예를 따라서 본 발명을 설명하였지만, 본 발명은 이들에 한정되는 것은 아니다. 예를 들면, 다양한 변경, 개량, 조합 등이 가능한 것은 당업자에게 자명할 것이다.
이상 설명한 바와 같이, 본 발명에 따르면, 엘레베이티드 소스 드레인 구조를 형성하기 위한 선택 에피택셜 성장을 행한 후에, 소스 및 드레인의 익스텐션부, 소스 및 드레인 영역을 형성한다. 이 때문에, 익스텐션부, 소스 및 드레인 영역 내의 불순물의 가로 방향 확산을 억제할 수 있다. 또, 고온에서 에피택셜 성장을 행할 수 있기 때문에, 성장 속도를 빠르게 할 수 있다. 또한, 소스 및 드레인 영역을 형성한 후에, 금속 실리사이드막이 형성된다. 금속 실리사이드막이, 불순물의 활성화 열처리를 경험하지 않기 때문에, 금속 실리사이드의 응집을 방지할 수 있다.

Claims (6)

  1. 반도체 기판 표면의 일부의 영역 위에, 게이트 절연막을 개재하여 형성된 게이트 전극과,
    상기 반도체 기판의 표면 중, 상기 게이트 전극의 양측에, 이 게이트 전극으로부터 임의의 간격을 사이에 두고 배치되고, 반도체 재료로 형성된 제1 반도체막과,
    상기 제1 반도체막의 각각의 내부에 형성된 불순물 확산 영역과,
    상기 반도체 기판의 표층부 중, 상기 게이트 전극의 양측에 배치되고, 상기 불순물 확산 영역과 동일 도전형의 불순물이 첨가되며, 대응하는 측의 상기 불순물 확산 영역에 접속된 익스텐션부와,
    상기 게이트 전극의 측면 위에 절연 재료로 형성되며, 상기 제1 반도체막의 게이트 전극측의 엣지 위로 연장되며, 이 제1 반도체막의 일부를 덮는 측벽 스페이서
    를 포함하는 반도체 장치.
  2. 제1항에 있어서,
    상기 제1 반도체막 중, 상기 측벽 스페이서로 덮혀 있지 않은 영역 위에 형성된 제1 금속 실리사이드막과,
    상기 게이트 전극 위에 형성된 제2 금속 실리사이드막을 더 포함하는 반도체장치.
  3. (a) 반도체 기판의 일부의 영역 위에, 게이트 절연막 및 그 위에 배치된 게이트 전극을 형성하는 공정과,
    (b) 상기 게이트 전극의 측면 위에 제1 측벽 스페이서를 형성하는 공정과,
    (c) 상기 게이트 전극 및 상기 제1 측벽 스페이서로 덮혀 있지 않은 상기 반도체 기판의 표면 위에, 반도체 재료로 이루어지는 제1 반도체막을 성장시키는 공정과,
    (d) 상기 제1 측벽 스페이서를 제거하는 공정과,
    (e) 상기 게이트 전극을 마스크로 하여, 상기 반도체 기판의 표층부 및 상기 제1 반도체막의 표층부에, 제1 도전형의 불순물을 주입하는 공정과,
    (f) 상기 게이트 전극의 측면 위에, 적어도 상기 제1 반도체막의 게이트 전극측의 엣지까지 도달하는 제2 측벽 스페이서를 형성하는 공정과,
    (g) 상기 제1 반도체막 중 상기 제2 측벽 스페이서로 덮혀 있지 않은 영역에, 제1 도전형의 불순물을 주입하는 공정과,
    (h) 상기 공정 (d) 및 공정 (g)에서 주입된 불순물을 활성화시키기 위한 열 처리를 행하는 공정
    을 포함하는 반도체 장치의 제조 방법.
  4. 제3항에 있어서,
    상기 공정 (h)의 후, 상기 제1 반도체막 중 상기 제2 측벽 스페이서로 덮혀 있지 않은 영역, 및 상기 게이트 전극의 상면에서 실리사이드 반응을 생기게 하여, 금속 실리사이드막을 형성하는 공정을 더 포함하는 반도체 장치의 제조 방법.
  5. 제3항 또는 제4항에 있어서,
    상기 공정 (f)에서, 상기 제1 반도체막의 게이트 전극측의 엣지 위로 연장되며 이 제1 반도체막의 일부를 덮도록, 상기 제2 측벽 스페이서를 형성하는 반도체 장치의 제조 방법.
  6. 제3항 내지 제5항 중 어느 한 항에 있어서,
    상기 공정 (h)의 열 처리 후에, 상기 공정 (g)에서 주입된 불순물이, 적어도 상기 게이트 전극측의 일부의 영역에서 상기 반도체 기판까지 확산되지 않고, 상기 제1 반도체막 내에 남아 있는 조건으로, 상기 불순물을 주입하는 반도체 장치의 제조 방법.
KR1020030058227A 2002-08-29 2003-08-22 반도체 장치 및 그 제조 방법 KR20040019913A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JPJP-P-2002-00251268 2002-08-29
JP2002251268A JP2004095639A (ja) 2002-08-29 2002-08-29 半導体装置及びその製造方法

Publications (1)

Publication Number Publication Date
KR20040019913A true KR20040019913A (ko) 2004-03-06

Family

ID=31972673

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020030058227A KR20040019913A (ko) 2002-08-29 2003-08-22 반도체 장치 및 그 제조 방법

Country Status (5)

Country Link
US (2) US6855589B2 (ko)
JP (1) JP2004095639A (ko)
KR (1) KR20040019913A (ko)
CN (1) CN1487598A (ko)
TW (1) TWI229898B (ko)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
KR100567752B1 (ko) * 2003-12-31 2006-04-05 동부아남반도체 주식회사 반도체 소자 형성 방법
US7125805B2 (en) * 2004-05-05 2006-10-24 Freescale Semiconductor, Inc. Method of semiconductor fabrication incorporating disposable spacer into elevated source/drain processing
US7402207B1 (en) 2004-05-05 2008-07-22 Advanced Micro Devices, Inc. Method and apparatus for controlling the thickness of a selective epitaxial growth layer
US7129548B2 (en) * 2004-08-11 2006-10-31 International Business Machines Corporation MOSFET structure with multiple self-aligned silicide contacts
US7135724B2 (en) * 2004-09-29 2006-11-14 International Business Machines Corporation Structure and method for making strained channel field effect transistor using sacrificial spacer
US7241700B1 (en) 2004-10-20 2007-07-10 Advanced Micro Devices, Inc. Methods for post offset spacer clean for improved selective epitaxy silicon growth
US7456062B1 (en) 2004-10-20 2008-11-25 Advanced Micro Devices, Inc. Method of forming a semiconductor device
US7816236B2 (en) * 2005-02-04 2010-10-19 Asm America Inc. Selective deposition of silicon-containing films
US20060252191A1 (en) * 2005-05-03 2006-11-09 Advanced Micro Devices, Inc. Methodology for deposition of doped SEG for raised source/drain regions
US7745296B2 (en) * 2005-06-08 2010-06-29 Globalfoundries Inc. Raised source and drain process with disposable spacers
US7553732B1 (en) * 2005-06-13 2009-06-30 Advanced Micro Devices, Inc. Integration scheme for constrained SEG growth on poly during raised S/D processing
US20060281271A1 (en) * 2005-06-13 2006-12-14 Advanced Micro Devices, Inc. Method of forming a semiconductor device having an epitaxial layer and device thereof
US20060286730A1 (en) * 2005-06-15 2006-12-21 Liu Alex Liu Yi-Cheng Semiconductor structure and method for forming thereof
US7572705B1 (en) 2005-09-21 2009-08-11 Advanced Micro Devices, Inc. Semiconductor device and method of manufacturing a semiconductor device
US8217423B2 (en) * 2007-01-04 2012-07-10 International Business Machines Corporation Structure and method for mobility enhanced MOSFETs with unalloyed silicide
JP2010003812A (ja) 2008-06-19 2010-01-07 Fujitsu Microelectronics Ltd 半導体装置およびその製造方法
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
JP5446558B2 (ja) * 2009-08-04 2014-03-19 富士通セミコンダクター株式会社 半導体装置の製造方法
US8367528B2 (en) 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
KR101714003B1 (ko) 2010-03-19 2017-03-09 삼성전자 주식회사 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자
US9129823B2 (en) * 2013-03-15 2015-09-08 Taiwan Semiconductor Manufacturing Co., Ltd. Silicon recess ETCH and epitaxial deposit for shallow trench isolation (STI)
JP6279291B2 (ja) 2013-11-18 2018-02-14 ルネサスエレクトロニクス株式会社 半導体装置の製造方法

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6121100A (en) * 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
JP3209731B2 (ja) 1998-09-10 2001-09-17 松下電器産業株式会社 半導体装置及びその製造方法
JP2002124665A (ja) * 2000-10-12 2002-04-26 Mitsubishi Electric Corp 半導体装置およびその製造方法

Also Published As

Publication number Publication date
CN1487598A (zh) 2004-04-07
US20040041216A1 (en) 2004-03-04
JP2004095639A (ja) 2004-03-25
TW200406825A (en) 2004-05-01
US6855589B2 (en) 2005-02-15
US20050121719A1 (en) 2005-06-09
TWI229898B (en) 2005-03-21

Similar Documents

Publication Publication Date Title
KR20040019913A (ko) 반도체 장치 및 그 제조 방법
JP5030774B2 (ja) トランジスタ形成方法
US7714394B2 (en) CMOS semiconductor devices having elevated source and drain regions and methods of fabricating the same
KR100621546B1 (ko) 엘리베이티드 소오스/드레인 구조의 모스트랜지스터 및 그제조방법
KR101811796B1 (ko) 급경사 접합 프로파일을 갖는 소스/드레인 영역들을 구비하는 반도체 소자 및 그 제조방법
KR100332106B1 (ko) 반도체 소자의 트랜지스터 제조 방법
US20110027954A1 (en) Method to improve transistor tox using si recessing with no additional masking steps
US20080142885A1 (en) Semiconductor device with improved source and drain and method of manufacturing the same
US6830980B2 (en) Semiconductor device fabrication methods for inhibiting carbon out-diffusion in wafers having carbon-containing regions
JP3657915B2 (ja) 半導体装置および半導体装置の製造方法
KR100280809B1 (ko) 반도체 소자의 접합부 형성 방법
KR100578218B1 (ko) 엘리베이티드 소오스/드레인을 갖는 반도체소자 제조방법
US5913115A (en) Method for producing a CMOS circuit
JP5162935B2 (ja) 半導体装置の製造方法
KR101673920B1 (ko) 반도체 장치의 제조 방법
JP4186247B2 (ja) 半導体装置の製造方法および導電性シリコン膜の形成方法
JPH0982812A (ja) 半導体装置の製造方法
KR19980081779A (ko) Mos 트랜지스터와 그 제조 방법
KR101673908B1 (ko) 반도체 소자 및 그 제조 방법
KR100705233B1 (ko) 반도체 소자의 제조 방법
KR100861282B1 (ko) 반도체소자의 제조 방법
JP2000012836A (ja) 半導体装置および半導体装置の製造方法
KR101079873B1 (ko) 반도체 소자의 형성 방법
KR100903278B1 (ko) 반도체 소자의 제조 방법
JP2011171392A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid