JP5030774B2 - トランジスタ形成方法 - Google Patents

トランジスタ形成方法 Download PDF

Info

Publication number
JP5030774B2
JP5030774B2 JP2007518088A JP2007518088A JP5030774B2 JP 5030774 B2 JP5030774 B2 JP 5030774B2 JP 2007518088 A JP2007518088 A JP 2007518088A JP 2007518088 A JP2007518088 A JP 2007518088A JP 5030774 B2 JP5030774 B2 JP 5030774B2
Authority
JP
Japan
Prior art keywords
source
conductivity
drain region
drain
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2007518088A
Other languages
English (en)
Other versions
JP2008504682A (ja
Inventor
ファラン ノウリ,
ロリ, ディー. ワシントン,
ヴィクター モロツ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2008504682A publication Critical patent/JP2008504682A/ja
Application granted granted Critical
Publication of JP5030774B2 publication Critical patent/JP5030774B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

発明の背景
発明の分野
[0001]本発明の実施形態は概して、半導体製造プロセスおよびデバイスの分野、より具体的には、半導体デバイスを形成するシリコン含有膜を堆積する方法に関する。
関連技術の説明
[0002]より小型のトランジスタが製造されると、シリコン含有MOSFET(金属酸化膜半導体電界効果トランジスタ)デバイスなどのサブ100nmCMOS(相補型金属酸化膜半導体)デバイス用の極めて浅いソース/ドレイン接合を生成することがより難題となりつつある。このようなMOS(FET)トランジスタは、ドーパント導電性タイプに応じてpチャネルMOS(PMOS)トランジスタおよびnチャネルMOS(NMOS)トランジスタを含んでいてもよいのに対して、PMOSはp型チャネルを有する、つまりホールがチャネルの伝導を担っており、またNMOSがn型チャネルを有する、つまり電子がチャネルの伝導を担っている。
[0003]シリコンベース材料がMOSFETデバイスのデバイス作成に使用されてもよい。例えば、PMOS用途において、トランジスタの凹状エリアの膜は通例シリコンゲルマニウムであり、またNMOS用途について、凹状エリアの膜はSiCであってもよい。シリコンゲルマニウムは、シリコンのみよりも多くのホウ素を注入して、接合抵抗率を低下させるのに好都合に使用され、これはデバイス性能を改良し、例えば基板表面でのシリサイド層とのシリコンゲルマニウムインタフェースは、シリコンゲルマニウムとのシリコンインタフェースよりも低いショットキーバリアを有する。
[0004]凹状エリアはソース/ドレイン延長部つまりソース/ドレイン特徴部を備えており、これは、シリコンをエッチングして凹状ソース/ドレイン特徴部を作り、次いでエッチングされた表面を選択的に成長したシリコンゲルマニウムエピ層によって充填することによって製造される。シリコンとシリコンゲルマニウム材料間の格子の不一致は、接合の横方向寸法に移送されて、PMOSチャネルの圧縮歪みを作成し、ホールの移動性を増大させ、かつデバイス性能を改良する圧縮ストレスを生成する。
[0005]選択シリコンエピタキシャル(Siエピタキシャル)堆積およびシリコンゲルマニウムエピタキシャル堆積によって、誘電エリアでの成長のないシリコン(Si)溝(moats)でのエピ層の成長が可能になる。選択エピタキシーは、ソース/ドレイン、ソース/ドレイン延長部、コンタクトプラグ、およびバイポーラデバイスのベース層堆積などの半導体デバイスで使用可能である。付加的に、選択エピタキシーによって、イン・シトゥードーピングによるほぼ完全なドーパント活性化が可能になるため、ポストアニーリングプロセスが省略されてもよい。従って、接合深さは、シリコンエッチングおよび選択エピタキシーによって正確に画成可能である。改良された接合深さもまた圧縮ストレスを発生させる。デバイス作成におけるシリコン含有材料の使用の一例はMOSFETデバイス用である。
[0006]しかしながら、特徴部サイズを縮小する際に使用する極めて浅いソース/ドレイン接合用途において、従来の製造プロセスは、PMOSデバイスにおけるシリーズ抵抗の増加と圧縮ストレスの低下をもたらす。また、トランジスタのソース/ドレイン領域の表面のシリサイド化は、シリーズ抵抗を更に増大させ、かつトランジスタに形成された所望の圧縮ストレスに対抗する引張りストレスを発生させる接合消費をもたらす。このように、シリコンゲルマニウム内蔵のMOSFET用の従来のエッチングおよび堆積プロセスは所望のものに満たず、望ましくないドーパント拡散および望ましくないストレス緩和効果をもたらした。
[0007]従って、高いドーパント濃度および内蔵を有するシリコンおよびシリコン化合物をデバイス性能が改良された半導体デバイスに選択的にエピタキシー堆積するためのプロセスを有する必要がある。
発明の概要
[0008]本発明は、半導体デバイスで使用する材料を堆積するのに適用する。一実施形態では、第1の導電性を有する基板上にゲート誘電体を形成するステップと、該ゲート誘電体上にゲート電極を形成するステップと、第1のドーパントを該基板表面に注入して、該電極の対向する側に第2の導電性を有するソース/ドレイン領域を形成するステップであって、該ソース/ドレイン領域が垂直部分および水平部分を有するステップと、該ゲート電極の横方向に対向する側壁に沿って1対の側壁スペーサを形成するステップであって、該第1の対の側壁スペーサが該ソース/ドレイン領域の第1の垂直部分をカバーするステップと、該第1のドーパントを注入して、該ソース/ドレイン領域の該水平部分を増大させるステップと、該第1の対の側壁スペーサの一部を除去して、該ソース/ドレイン領域のより大きな垂直部分を露出するステップと、該電極の対向する側の該ソース/ドレイン領域に1対の特徴限定部をエッチングするステップであって、該ソース/ドレイン領域の少なくとも一部を除去するステップと、シリコンゲルマニウム材料を該特徴限定部に選択的に堆積して、シリコンゲルマニウムソース/ドレイン領域を形成するステップと、側壁材料を該1対の側壁スペーサ上に堆積して、該シリコンゲルマニウムソース/ドレイン領域の第1の垂直部分をカバーするステップと、を含む、基板を処理する方法が提供される。
[0009]別の実施形態では、第1の導電性を有する基板上にゲート誘電体を形成するステップと、該ゲート誘電体上にゲート電極を形成するステップと、該ゲート電極の横方向に対向する側壁に沿って第1の対の側壁スペーサを形成するステップと、該電極の対向する側に1対のソース/ドレイン領域限定部をエッチングするステップと、該ソース/ドレイン領域限定部にシリコンゲルマニウム材料を選択的に堆積するステップと、該堆積されたシリコンゲルマニウム材料にドーパントを注入して、第2の導電性を有するソース/ドレイン領域を形成するステップと、を含む基板を処理する方法が提供される。
[0010]本発明の上記引用された特徴が詳細に理解されるように、上記簡潔に要約された本発明のより具体的な説明が実施形態を参照してなされ、この一部は添付の図面に図示されている。しかしながら、添付の図面は本発明の通常の実施形態のみを図示しており、また本発明は他の等しく効果的な実施形態を認めているため、その範囲を制限するものとみなされるべきではない点に注目すべきである。
好ましい実施形態の詳細な説明
[0013]本発明は、シリコン含有化合物のエピタキシャル堆積を含む半導体デバイス形成プロセスを提供する。ここで、シリコン含有化合物は、シリコン(Si)、シリコンゲルマニウム(SiGe)、シリコンカーバイド(SiC)、シリコンゲルマニウムカーバイド(SiGeC)、これらのドープ変形およびこれらの組み合わせの堆積層または膜を含む。
[0014]本発明のプロセスは、原子層エピタキシー法(ALE)、化学気相成長法(CVD)および原子層成長法(ALD)に関する当分野において既知の機器において実施可能である。装置は、シリコン化合物膜が成長される加熱基板にソースを接触させる。プロセスは、約1ミリトール〜約2,300トール、好ましくは約0.1トール〜約200トールの圧力範囲で動作可能である。シリコン含有膜を堆積するのに使用可能なハードウェアは、カリフォルニア州サンタクララにあるアプライドマテリアルズ社から入手可能なEpi Centura(登録商標)システム、DPSII(商標)シリコンエッチングツールおよびPoly Gen(登録商標)システムを含む。適切なALD装置は、アプライドマテリアルズ社に譲渡され、「Gas Delivery Apparatus and Methods for ALD」と題された米国特許出願公開第20030079686号に開示されており、この公開は装置について説明する目的でその全体を参照して本明細書に組み込まれている。他の適切な装置は、当分野において既知であるように、バッチ高温炉を含む。
[0015]以下のプロセス実施形態は、シリコンゲルマニウム材料を使用して構造を形成する。第1の実施形態では、シリコン材料のエッチング済み部分にシリコンゲルマニウムソース/ドレイン領域を形成するための方法が提供される。第2の実施形態では、MOSFET構造などの一体化構造が、選択的にシリコンゲルマニウム材料を堆積してソース/ドレイン領域限定部を形成することと、堆積されたシリコンゲルマニウム材料にドーパントを注入してドープソース/ドレイン領域を形成することとによって形成される。
[0016]図1A〜図1Eは、第2の実施形態に説明されるような一体化構造を形成する一プロセス実施形態のステップを図示している。
[0017]図1Aは、部分的に製作されたp型金属酸化膜半導体デバイス(PMOS)を含む基板100を図示している。PMOSはp型チャネルを有する、つまりホールがチャネルの伝導を担っている。基板100は、異なる導電性タイプのウェルを分離し、かつ隣接するトランジスタを分離するために使用される複数の電界分離領域110(電界分離構造110)を含む。電界分離領域110は、好ましくは、トレンチを基板100にエッチングして、次いでこのトレンチを堆積された酸化物で充填することによって形成される浅いトレンチ分離(STI)領域である。必要ならば、酸化物ではなく、窒化物などの適切な絶縁体が使用されてもよい。
[0018]基板100は、分離領域110間に形成された第1の導電性を有するn型領域120を含む。適切な導電性は、約1×1017原子/cm〜約1×1019原子/cmの範囲にあってもよい。n型領域120は、リン原子を使用する1つ以上の注入プロセスと、場合によって、シリコン基板100へのヒ素原子の最終注入とによって形成されてもよい。n型領域120は、初期ドープ基板を提供するステップや、所望の導電性を有するイン・シトゥードープ半導体材料を堆積するステップを含む他の手段によって形成されてもよい。
[0019]ゲート誘電層130がn型領域120の上部表面上に形成される。ゲート誘電層130は例えば、酸化シリコン、窒化シリコン、酸窒化シリコン層を備えていてもよく、これは、例えば約5Å〜約100Åの厚さに堆積されてもよい。ゲート電極140が、例えば約1000Å〜約3500Åの厚さに多結晶シリコンをゲート誘電層130上に堆積し、また従来から既知のフォトリソグラフィック技術によってこの多結晶シリコンをパターニングすることによって、ゲート誘電層130上に形成される。代替的に、ゲート電極140は、金属などの別の導電材料を備えていてもよい。
[0020]ゲート電極の対向する側のn型領域120の露出された基板表面は、pドーパントイオンによって、ゲート電極140の露出された上部表面に注入される。ドーパントイオンは、例えば、ホウ素イオンまたは他の適切なp型イオンであってもよい。イオンは、導電性pドープ領域170をn型領域120に、導電性pドープ領域175をゲート電極140に形成する。pドープ領域170は、構造の初期ソース/ドレイン領域を形成し、n型領域とは異なるp型導電性を有する。
[0021]側壁スペーサ150がゲート電極140の横方向に対向する側壁に沿って形成されてもよい。側壁スペーサは、ゲート電極140を後に堆積される材料から電気的に分離するのに必要な、例えば約50Å〜約300Åの厚さに形成されてもよい。側壁スペーサ150は適切な絶縁材料、例えば、化学気相成長法などの任意の従来から既知のプロセスによって堆積され、かつ図1Aに示されるような形状を形成するようにエッチングされる窒化シリコン、酸化シリコン、酸窒化シリコンまたはシリコンカーバイドを備えていてもよい。
[0022]ゲート電極の対向する側のn型領域120の露出された基板表面は、より高い注入エネルギーで(かつゲート電極140の露出された上部表面に)ホウ素などの追加または二次pドーパントイオンが注入される。注入エネルギーの増加によって、pドープイオンがn型領域120により深く注入されることになり、二次pドープ導電領域160を作成する。側壁スペーサ150は、n型領域120へのイオンの注入を防止するマスクを形成する。二次pドープ導電領域160およびpドープ領域170は、異なる導電性を有する新たなソース/ドレイン材料を作成してもよい。チャネル125は、構造におけるイオンの移動性のために、pドープ領域170の内縁間に、次いで本明細書に説明された領域160間に画成される。
[0023]図1Bは、側壁スペーサの少なくとも一部を除去して縮小側壁スペーサ155を形成するための側壁スペーサ150のエッチングを示している。代替的に、側壁スペーサ150全体は、例えば、ソース/ドレイン延長領域170がゲート誘電体130の下方に延びる実施形態において除去されてもよい。側壁スペーサのエッチングはまた、縮小ドープ多結晶シリコンゲート部分178をもたらすこともある。場合によって、ハードマスク(図示せず)がソース/ドレイン延長領域170およびpドープ領域175上に堆積されて、側壁スペーサ150のエッチング中の材料の損失を防止する。ハードマスク材料は、例えば酸化シリコンや窒化シリコンを含むハードマスク用途で使用される任意の材料であってもよく、また、例えばプラズマ化学気相成長法(PECVD)および低圧化学気相成長法(LPCVD)を含む任意のプロセスによって堆積されてもよい。
[0024]二次pドープ導電領域160およびpドープ領域170の少なくとも一部を含むn型領域120は、次いでエッチングされて、ゲート電極140の対向する側に、かつ側壁スペーサ155に隣接して配置されるソース/ドレイン限定部180を形成する。エッチングプロセスの一実施形態では、領域120は異方性エッチングまたは等方性エッチングされて、異方性エッチングに対して垂直かつ水平つまり横方向に、ならびに等方性エッチングに対して垂直に領域をエッチングする。十分な水平エッチングは、スペーサ155の下方に延びる凹部(図示せず)を発生させることがある。
[0025]異方性エッチングプロセスは、図1Cに示されるような限定部の実質的に垂直な側壁および底部を形成することがある。代替的に、限定部は凹形または他の所望の構造を備えていてもよい。二次pドープ導電領域160およびpドープ領域170の一部は、図1Cに示されるような限定部180の形成後に残っていてもよい。限定部180は任意の必要寸法、例えば約50Å〜約1500Åの深さ、および分離領域110から側壁スペーサ155の外部に及ぶこともある幅であってもよい。エッチングプロセスはまた、縮小ドープ多結晶シリコンゲート部分178の一部を除去して、残渣ドープ多結晶シリコンゲート部分179を形成してもよい。ハードマスク(図示せず)は、エッチングプロセス中の材料の損失を防止するために、構造などの部分、例えば電極140およびゲート部分178上に堆積されてもよい。
[0026]そしてソース/ドレイン限定部180は、図1Dに示されるように、デバイス機能に望ましいシリコンゲルマニウム材料やシリコンカーバイドなどのシリコン含有材料によって充填される。二次pドープ導電領域160の残渣部分は堆積材料に吸着されてもよい。シリコンゲルマニウム材料はまた、多結晶シリコンゲート層177を完全に形成するために、残渣ドープ多結晶シリコンゲート部分179上に堆積されてもよい。限定部180は、図1Aに示されたようなイオン注入方法で許容されるよりも更にゲート誘電体に向かって延びるように設計されることによって、ストレスを含む領域185がチャネル125の近くに形成される。
[0027]シリコン含有材料はドーパントのないプロセスによって堆積されて、概して、約1原子百分率〜約30原子百分率のゲルマニウム濃度のシリコンゲルマニウム材料(SiGe)を備えている。代替的に、堆積されたシリコンゲルマニウム材料は更に、ホウ素などのドーパントや、ソース/ドレイン材料を形成するのに必要な材料を含んでいてもよい。シリコンゲルマニウム材料などを堆積するためのプロセスの例は、2003年10月10日に出願された同時係属の米国出願第10/683,937号、および2004年5月14日に出願された米国出願第10/845,984号に開示されており、両者は、請求された態様および開示に矛盾しない範囲で参照して本明細書に組み込まれている。シリコンゲルマニウムは複数の理由からPMOS用途に使用される。シリコンゲルマニウム材料はシリコンのみよりも多くのホウ素を組み込んでおり、ゆえに接合抵抗率は低くなる。付加的に、基板表面のシリコンゲルマニウム/シリサイド層インタフェースは、Si/シリサイドインタフェースよりも低いショットキーバリアを有する。
[0028]更に、シリコンゲルマニウムの格子定数はシリコンよりも大きいため、シリコンの上部でエピタキシャル成長したシリコンゲルマニウムは、シリコン/シリコンインタフェースに比較して、膜内部により大きな圧縮ストレスを有する。圧縮ストレスは横方向寸法に移送されて、PMOSチャネルに圧縮歪みを作成し、かつホールの移動性を高める。NMOS用途について、SiCの格子定数はシリコンよりも小さいため、SiCが凹状エリアに使用されて、チャネルの引張りストレスを作成することができる。引張りストレスはチャネルに移送されて、電子の移動性を高める。
[0029]ストレスを含む領域185のシリコン含有材料は、限定部180およびゲート部分179のエッチング部分などのシリコン上に選択的に堆積される。ストレスを含む領域185のソース/ドレイン領域の形成を参照して、ゲート誘電材料130のレベルに限定部180を充填するのに十分な量のシリコン含有材料が堆積される。シリコン含有材料は、二次pドープ導電領域160およびpドープ領域170によって形成されたソース/ドレイン領域の先行のソース/ドレイン領域とは異なるp型導電性を有する新たなpドープソース/ドレイン領域を提供する。
[0030]代替的に、ストレスを含む領域185の堆積されたシリコン含有材料は次いで、ソース/ドレイン領域を形成するために、当分野において従来から既知のイオン注入プロセスによってドープされてもよい。シリコン含有材料には、約1×1018原子/cm〜約2.5×1021原子/cm、好ましくは約2×1020原子/cmのドーパント濃度で十分なドーパント(例えば、B、AsまたはP)がイオン注入されてもよい。
[0031]ドープまたは無ドープのいずれかのシリコンゲルマニウム材料から作られる構造はチャネル125でのストレスおよび歪みの増大を提供して、トランジスタ構造のイオン移動性および機能の改良を高めると考えられている。ソース/ドレイン領域は、ソース/ドレイン領域170のより多量の材料がチャネル125に近接したこのような領域を形成し、かつチャネル125にストレスおよび歪みの増大を提供することによって構造性能の改良をもたらすことができると考えられている。
[0032]図1Eは、増強側壁スペーサ190を形成してゲート電極140をソース/ドレイン領域170から更に分離する追加側壁スペーサ材料を堆積するステップを図示している。側壁スペーサの追加材料は、側壁スペーサ150について説明したのと同じプロセスおよび材料によって堆積されてもよい。代替的に、側壁スペーサ190は、先に説明されたイオン注入プロセスの前に堆積されてもよい。側壁スペーサは通常、本明細書に説明されたように、シリコン含有材料の実質的部分を後続のプロセスに露出する。
[0033]その後、基板は、第1の実施形態について本明細書で説明されたようなアニーリング、キャッピング層および/またはサリサイド(salicidation)プロセスなどの追加処理に付されてもよい。
[0034]図1Fおよび図1Gは、「隆起」ソース/ドレイン領域と称される、ゲート誘電材料130のレベルより上に突起つまり延長部187を形成するのにかなりの量のシリコンゲルマニウム材料を堆積しているトランジスタの代替実施形態を図示している。隆起ソース/ドレイン延長部187は圧縮ストレスおよびチャネル歪みを増大させ、ホールの移動性の改良、ひいてはデバイス性能の改良を提供すると考えられている。隆起ソース/ドレイン延長部187は、例えば、ゲート誘電材料130のレベル上、約20〜約60nmにあってもよい。図1Gは、増強側壁スペーサ190を形成して、ゲート電極140をソース/ドレイン領域170から更に分離する追加側壁スペーサ材料を堆積するステップを図示している。
[0035]図1Gは更に、ソースを含む領域185のソース/ドレイン領域の堆積されたシリコン含有材料上に低抵抗シリサイド197を形成するために使用される、ニッケルシリサイド形成などのサリサイドプロセスを図示している。シリサイド形成プロセスは、コバルトシリサイドを形成するためのコバルトおよび窒化チタン堆積およびアニーリングなどの当分野において従来から既知のものであってもよい。ニッケルシリサイドのニッケルなどの他の材料、およびシリサイド形成に適した他の材料は、ここでシリサイド197を形成するのに使用されてもよい。ゲート電極140(および、場合によってシリサイド)および領域185のソース/ドレイン領域(およびシリサイド197)の上方のイオン注入またはキャッピング層(図示せず)に続くアニーリングプロセスなどの追加プロセスが必要ならば実行されてもよい。
[0036]図2A〜図2Eは、ソース/ドレイン延長領域によって一体化構造を形成する一プロセス実施形態のステップを図示している。図2Aは、部分的に製作されたp型金属酸化膜半導体デバイス(PMOS)を含む基板200を図示している。基板200は、異なる導電性タイプのウェルを分離し、かつ隣接するトランジスタを分離するために使用される複数の電界分離領域210を含む。電界分離領域210は好ましくは、トレンチを基板200にエッチングし、次いでこのトレンチに堆積酸化物を充填することによって形成された浅いトレンチ分離(STI)領域であり、窒化物などの、酸化物以外の適切な絶縁体が所望ならば使用されてもよい。
[0037]基板200は、分離領域210間に形成される第1の導電性を有するn型領域220を含む。適切な導電性は約1×1017原子/cm〜約1×1019原子/cmの範囲であってもよい。n型領域220は、リン原子を使用する1つ以上の注入プロセス、および任意で、シリコン基板200へのヒ素原子の最終注入によって形成されてもよい。n型領域220は、初期ドープ基板を提供するステップや、所望の導電性のイン・シトゥードープ半導体材料を堆積するステップを含む他の手段によって形成されてもよい。
[0038]ゲート誘電層230はn型領域220の上部表面に形成される。ゲート誘電層230は例えば、酸化シリコン、窒化シリコンまたは酸窒化シリコン層を備えていてもよく、これは例えば、約5Å〜約200Åの厚さに堆積されてもよい。ゲート電極240はゲート誘電層230上に形成され、例えば約1000Å〜約3500Åの厚さの多結晶シリコンによって堆積されて、従来から既知のフォトリソグラフィック技術によってパターニングされてもよい。代替的に、ゲート電極240は、金属などの別の導電材料を備えていてもよい。
[0039]側壁スペーサ250は、ゲート電極240の横方向に対向する側壁に沿って形成されてもよい。側壁スペーサは例えば、約50Å〜約300Åの厚さに、またはゲート電極240を後に堆積される材料から電気的に分離するのに必要な厚さに形成されてもよい。側壁スペーサは適切な絶縁材料、例えば、化学気相成長法などの従来から既知のプロセスによって堆積され、かつ図2Aに示されるように形成するためにエッチングされた窒化シリコン、酸化シリコン、酸窒化シリコンあるいはシリコンカーバイドを備えていてもよい。
[0040]その後、n型領域220は、ゲート電極240の対向する側に、かつ側壁スペーサ250に隣接して配置されるソース/ドレイン限定部255を形成するためにエッチングされる。ソース/ドレイン限定部255は更に、構造のイオン移動性のためにチャネル225を画成する。エッチングプロセスの一実施形態では、領域220は等方性エッチングされて、領域を領域220に垂直に、かつ水平つまり横方向にエッチングする。十分な水平エッチングは、図2Bに示されるようなゲート誘電層230の下方に延びる凹部257を発生させることもある。エッチングプロセスは、図2Bに示されるような限定部の実質的に垂直な側壁および底部を備えていてもよく、代替的には、凹形または他の所望の構造を備えていてもよい。限定部255は任意の必要寸法、例えば約50〜約1500の深さ、および分離構造210からゲート誘電層230に至る長さ以下の幅であってもよい。
[0041]そしてソース/ドレイン限定部255は、図2Cに示されるように、デバイス機能にとって所望のシリコンゲルマニウム材料やシリコンカーバイドなどのシリコン含有材料260によって充填される。シリコン含有材料はドーパントのないプロセスによって堆積され、概して約1原子百分率〜約30原子百分率のゲルマニウム濃度のシリコンゲルマニウム材料(SiGe)を備えている。シリコンゲルマニウム材料および他の適切な材料を堆積するプロセスの例は、2003年10月10日に出願された同時係属の米国出願第10/683,937号および2004年5月14日に出願された米国出願第10/845,984号に開示されており、両者とも、請求された態様および開示と矛盾しない範囲で参照して本明細書に組み込まれている。
[0042]シリコン含有材料260は、例えば限定部255におけるシリコン上に選択的に堆積され、シリコン含有材料260は、ゲート電極240のエッチング部分上に選択的に堆積される。シリコン含有材料260は限定部255を充填するのに十分な量が堆積され、代替的には、「隆起」ソース/ドレイン領域と称される、ゲート誘電層230のレベルの上方に突起275を形成するためのかなりの量が堆積される。シリコン含有材料260はまた、ゲート誘電層の下方に延びる凹部257を充填して延長部265を形成するように堆積される。
[0043]代替的に、堆積されたシリコン含有材料260は次いで当分野において従来から既知のイオン注入プロセスによってドープされて、ソース/ドレイン領域270を形成してもよい。シリコン含有材料260には、約1×1018原子/cm〜約2.5×1021原子/cm、好ましくは約2×1020原子/cmのドーパント濃度の十分なドーパント(例えば、B、AsまたはP)がイオン注入されてもよい。
[0044]図2Dは、ゲート電極240をソース/ドレイン領域270から更に分離するための第2のセットの側壁スペーサ280を堆積するステップを図示している。第2のセットの側壁スペーサは、側壁スペーサ250について説明されたのと同じプロセスおよび材料によって堆積されてもよい。図2Dに示されている実施形態では、ソース/ドレイン領域は、ゲート電極240の十分な分離を提供するために側壁スペーサ280の堆積前にエッチングされていた。本発明は、ソース/ドレイン領域270のエッチングなどの処理なしの側壁スペーサ280の堆積を想定している。代替的に、側壁スペーサ280は、先に説明されたイオン注入プロセス前に堆積されてもよい。側壁スペーサは通常、本明細書に説明されるように、後続プロセスにシリコン含有材料の実質的部分を露出する。
[0045]第1の実施形態に説明されたような、ドープまたは無ドープのいずれかのシリコンゲルマニウム材料(またはNMOS用のシリコンカーバイド材料)から作られた構造は、チャネル225でのストレスおよび歪みの増大を提供し、トランジスタ構造のイオン移動性および機能改良を高めると考えられている。無ドープシリコンゲルマニウム材料の使用は、歪み生成から浅い延長接合を形成する個別要件を許容すると考えられている。ゆえに、無ドープシリコンゲルマニウム材料は更に、ソース/ドレイン領域270のより大きな深さを許容すると考えられている。この理解はソース/ドレイン領域270の成形の柔軟性を許容し、またチャネルに大きなストレスを提供するより深い領域を許容する。これによって更に、シリコンゲルマニウム領域は、接合を形成するのに使用される注入およびアニーリングプロセス中のストレス緩和の問題なくストレスを最適化することができる。付加的に、隆起および/または延長ソース/ドレイン領域の使用が更に圧縮ストレスおよび歪みを構造に付加すると考えられている。
[0046]図2Eは、ソース/ドレイン領域270の堆積されたシリコン含有材料260上に低抵抗シリサイド290を形成するサリサイドプロセスを図示している。シリサイド290の形成プロセスは、コバルトシリサイドを形成するためのコバルトおよび窒化チタン堆積およびアニーリングなどの当分野において従来から既知のものであってもよい。ニッケルシリサイドのニッケルなどの他の材料、およびシリサイド形成に適した他の材料がシリサイド290を形成するのに使用されてもよい。ゲート電極240(およびシリサイド290)およびソース/ドレイン領域270(およびシリサイド290)の上方にイオン注入またはキャッピング層(図示せず)が続くアニーリングプロセスなどの付加プロセスが必要ならば実行されてもよい。
[0047]上記は本発明の実施形態に対するものであるが、本発明の他の、およびさらなる実施形態が基本的範囲から逸脱することなく考案されてもよく、またこの範囲は以下の請求項によって判断される。
シリコンゲルマニウム一体化スキームの一実施形態を図示している。 シリコンゲルマニウム一体化スキームの一実施形態を図示している。 シリコンゲルマニウム一体化スキームの一実施形態を図示している。 シリコンゲルマニウム一体化スキームの一実施形態を図示している。 シリコンゲルマニウム一体化スキームの一実施形態を図示している。 シリコンゲルマニウム一体化スキームの一実施形態を図示している。 シリコンゲルマニウム一体化スキームの一実施形態を図示している。 シリコンゲルマニウム一体化スキームの別の実施形態を図示している。 シリコンゲルマニウム一体化スキームの別の実施形態を図示している。 シリコンゲルマニウム一体化スキームの別の実施形態を図示している。 シリコンゲルマニウム一体化スキームの別の実施形態を図示している。 シリコンゲルマニウム一体化スキームの別の実施形態を図示している。
符号の説明
100…基板、110…電界分離領域、120…n型領域、125…チャネル、130…ゲート誘電層、140…ゲート電極、150…側壁スペーサ、160…二次pドープ導電領域、170…pドープ領域、175…導電性pドープ領域、178…縮小ドープ多結晶シリコンゲート部分、179…残渣ドープ多結晶シリコンゲート部分、180…ソース/ドレイン限定部、185…ストレスを含む領域、187…隆起ソース/ドレイン延長部、190…側壁スペーサ、197…シリサイド、200…基板、210…電界分離領域、220…n型領域、230…ゲート誘電層、240…ゲート電極、250…側壁スペーサ、255…ソース/ドレイン限定部、260…シリコン含有材料、270…ソース/ドレイン領域、280…側壁スペーサ、290…シリサイド。

Claims (18)

  1. 基板を処理する方法であって、
    第1の導電性を有する基板上にゲート誘電体を形成するステップと、
    前記ゲート誘電体上にゲート電極を形成するステップと、
    前記基板に第1のドーパントを注入して、前記ゲート電極の対向する側に第2の導電性を有する第1のソース/ドレイン領域を形成するステップと
    前記ゲート電極の対向する側壁の外側に沿って1対の側壁スペーサを形成するステップであって、前記第1のソース/ドレイン領域の第2の部分は露出した状態にのこしたまま、前記1対の側壁スペーサが前記第1のソース/ドレイン領域の第1の部分をカバーするステップと、
    前記第1のドーパントを注入して、前記第1のソース/ドレイン領域の前記第2の部分の深さを増大させるステップと、
    前記1対の側壁スペーサの一部を除去して、前記第1のソース/ドレイン領域の前記第1の部分の1部を露出するステップと、
    前記ゲート電極の対向する側の前記第1のソース/ドレイン領域1対のソース/ドレイン限定部分をエッチングするステップであって、前記露出された第1のソース/ドレイン領域の少なくとも一部を除去するステップと、
    前記ソース/ドレイン限定部分にシリコンゲルマニウム材料を選択的に堆積し、第2のソース/ドレイン領域を形成するステップと、
    前記1対の側壁スペーサ上に側壁材料を堆積し、前記第2のソース/ドレイン領域の第2の部分は露出された状態に残したまま、前記第2のソース/ドレイン領域の第1の部分をカバーするステップと、
    を備える方法。
  2. 第2のドーパントを前記第2のソース/ドレイン領域に注入して、前記第2の導電性とは導電率の異なる第3の導電性を有する第3のソース/ドレイン領域を形成するステップを更に備え、第2の導電性と第3の導電性は同じ型である請求項1に記載の方法。
  3. 前記第1および第2のドーパントが、ホウ素、ヒ素、リンおよびこれらの組み合わせからなる群より独立して選択される、請求項2に記載の方法。
  4. 前記第1の導電性が、n型導電性を備えており、前記第2および第3の導電性がp型導電性を備える、請求項2に記載の方法。
  5. 前記第1の導電性が、p型導電性を備えており、前記第2および第3の導電性がn型導電性を備える、請求項2に記載の方法。
  6. 前記第2のソース/ドレイン領域の第2の部分から金属シリサイドを形成する金属シリサイド化のステップを更に備える、請求項1に記載の方法。
  7. 前記金属シリサイドが、ニッケルシリサイドを備える、請求項6に記載の方法。
  8. 前記ドーパントの各注入に続いて前記基板をアニーリングするステップを更に備える、請求項1に記載の方法。
  9. 前記ゲート電極の上表面を越えて盛り上がる隆起部を形成するため前記シリコンゲルマニウム材料を堆積するステップを更に備える、請求項1に記載の方法。
  10. 基板を処理する方法であって、
    第1の導電性を有する基板上にゲート誘電体を形成するステップと、
    前記ゲート誘電体上にゲート電極を形成するステップと、
    前記基板に第1のドーパントを注入して、前記ゲート電極の対向する側に第2の導電性を有する第1のソース/ドレイン領域を形成するステップと、
    前記ゲート電極の対向する側壁の外側に沿って1対の側壁スペーサを形成するステップであって、前記第1のソース/ドレイン領域の第2の部分は露出した状態にのこしたまま、前記1対の側壁スペーサが前記第1のソース/ドレイン領域の第1の部分をカバーするステップと、
    前記第1のドーパントを注入して、前記第1のソース/ドレイン領域の前記第2の部分の深さを増大させるステップと、
    前記1対の側壁スペーサの一部を除去して、前記第1のソース/ドレイン領域の前記第1の部分の1部を露出するステップと、
    前記ゲート電極の対向する側の前記第1のソース/ドレイン領域の凹形限定部を備える1対のソース/ドレイン限定部分をエッチングするステップであって、前記露出された第1のソース/ドレイン領域の少なくとも一部を除去するステップと、
    前記ソース/ドレイン限定部分にシリコンゲルマニウム材料を選択的に堆積し、第2のソース/ドレイン領域を形成するステップと、
    前記1対の側壁スペーサ上に側壁材料を堆積し、前記第2のソース/ドレイン領域の第2の部分は露出された状態に残したまま、前記第2のソース/ドレイン領域の第1の部分をカバーするステップと、
    を備える方法。
  11. 第2のドーパントを前記第2のソース/ドレイン領域に注入して、前記第2の導電性とは導電率の異なる第3の導電性を有する第3のソース/ドレイン領域を形成するステップを更に備え、第2の導電性と第3の導電性は同じ型である請求項10に記載の方法。
  12. 前記第1および第2のドーパントが、ホウ素、ヒ素、リンおよびこれらの組み合わせからなる群より独立して選択される、請求項11に記載の方法。
  13. 前記第1の導電性が、n型導電性を備えており、前記第2および第3の導電性がp型導電性を備える、請求項11に記載の方法。
  14. 前記第1の導電性が、p型導電性を備えており、前記第2および第3の導電性がn型導電性を備える、請求項11に記載の方法。
  15. 前記第2のソース/ドレイン領域の第2の部分から金属シリサイドを形成する金属シリサイド化のステップを更に備える、請求項10に記載の方法。
  16. 前記金属シリサイドが、ニッケルシリサイドを備える、請求項15に記載の方法。
  17. 前記ドーパントの各注入に続いて前記基板をアニーリングするステップを更に備える、請求項10に記載の方法。
  18. 前記ゲート電極の上表面を越えて盛り上がる隆起部を形成するため前記シリコンゲルマニウム材料を堆積するステップを更に備える、請求項10に記載の方法。
JP2007518088A 2004-06-24 2005-06-02 トランジスタ形成方法 Expired - Fee Related JP5030774B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US58307904P 2004-06-24 2004-06-24
US60/583,079 2004-06-24
US11/123,588 US7413957B2 (en) 2004-06-24 2005-05-06 Methods for forming a transistor
US11/123,588 2005-05-06
PCT/US2005/019328 WO2006011939A2 (en) 2004-06-24 2005-06-02 Methods for forming a transistor

Publications (2)

Publication Number Publication Date
JP2008504682A JP2008504682A (ja) 2008-02-14
JP5030774B2 true JP5030774B2 (ja) 2012-09-19

Family

ID=35429286

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2007518088A Expired - Fee Related JP5030774B2 (ja) 2004-06-24 2005-06-02 トランジスタ形成方法

Country Status (5)

Country Link
US (3) US7413957B2 (ja)
EP (1) EP1759409A2 (ja)
JP (1) JP5030774B2 (ja)
KR (1) KR20070029711A (ja)
WO (1) WO2006011939A2 (ja)

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7238985B2 (en) * 2003-08-13 2007-07-03 International Rectifier Corporation Trench type mosgated device with strained layer on trench sidewall
KR100642747B1 (ko) * 2004-06-22 2006-11-10 삼성전자주식회사 Cmos 트랜지스터의 제조방법 및 그에 의해 제조된cmos 트랜지스터
US7413957B2 (en) * 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor
US7166897B2 (en) * 2004-08-24 2007-01-23 Freescale Semiconductor, Inc. Method and apparatus for performance enhancement in an asymmetrical semiconductor device
US7288448B2 (en) * 2004-08-24 2007-10-30 Orlowski Marius K Method and apparatus for mobility enhancement in a semiconductor device
US7179696B2 (en) * 2004-09-17 2007-02-20 Texas Instruments Incorporated Phosphorus activated NMOS using SiC process
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US20060118878A1 (en) * 2004-12-02 2006-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. CMOS device with selectively formed and backfilled semiconductor substrate areas to improve device performance
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US7569443B2 (en) * 2005-06-21 2009-08-04 Intel Corporation Complementary metal oxide semiconductor integrated circuit using raised source drain and replacement metal gate
US7579617B2 (en) * 2005-06-22 2009-08-25 Fujitsu Microelectronics Limited Semiconductor device and production method thereof
JP4984665B2 (ja) * 2005-06-22 2012-07-25 富士通セミコンダクター株式会社 半導体装置およびその製造方法
US8003470B2 (en) * 2005-09-13 2011-08-23 Infineon Technologies Ag Strained semiconductor device and method of making the same
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US8183556B2 (en) 2005-12-15 2012-05-22 Intel Corporation Extreme high mobility CMOS logic
JP4847152B2 (ja) 2006-02-22 2011-12-28 富士通セミコンダクター株式会社 半導体装置とその製造方法
US7538002B2 (en) * 2006-02-24 2009-05-26 Freescale Semiconductor, Inc. Semiconductor process integrating source/drain stressors and interlevel dielectric layer stressors
DE602006019940D1 (de) * 2006-03-06 2011-03-17 St Microelectronics Crolles 2 Herstellung eines flachen leitenden Kanals aus SiGe
US7566605B2 (en) * 2006-03-31 2009-07-28 Intel Corporation Epitaxial silicon germanium for reduced contact resistance in field-effect transistors
DE102006019937B4 (de) * 2006-04-28 2010-11-25 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines SOI-Transistors mit eingebetteter Verformungsschicht und einem reduzierten Effekt des potentialfreien Körpers
US20070257315A1 (en) * 2006-05-04 2007-11-08 International Business Machines Corporation Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
JP2008004776A (ja) * 2006-06-22 2008-01-10 Toshiba Corp 半導体装置およびその製造方法
US7605045B2 (en) * 2006-07-13 2009-10-20 Advanced Micro Devices, Inc. Field effect transistors and methods for fabricating the same
DE102006035665B4 (de) * 2006-07-31 2010-12-09 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung eines Feldeffekttransistors und Feldeffekttransistor
US8143646B2 (en) * 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7851780B2 (en) * 2006-08-02 2010-12-14 Intel Corporation Semiconductor buffer architecture for III-V devices on silicon substrates
JP4247257B2 (ja) * 2006-08-29 2009-04-02 株式会社東芝 半導体装置の製造方法
US7605407B2 (en) * 2006-09-06 2009-10-20 Taiwan Semiconductor Manufacturing Company, Ltd. Composite stressors with variable element atomic concentrations in MOS devices
US7625801B2 (en) * 2006-09-19 2009-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation with a pre-amorphous implant
KR100798814B1 (ko) 2006-09-20 2008-01-28 삼성전자주식회사 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 형성방법
EP1936696A1 (en) * 2006-12-22 2008-06-25 INTERUNIVERSITAIR MICROELEKTRONICA CENTRUM vzw (IMEC) A field effect transistor device and methods of production thereof
US8138053B2 (en) * 2007-01-09 2012-03-20 International Business Machines Corporation Method of forming source and drain of field-effect-transistor and structure thereof
KR100855977B1 (ko) 2007-02-12 2008-09-02 삼성전자주식회사 반도체 소자 및 그 제조방법
KR100942952B1 (ko) * 2007-03-26 2010-02-22 주식회사 하이닉스반도체 반도체 소자의 제조방법
US20080237733A1 (en) * 2007-03-27 2008-10-02 International Business Machines Corporation Structure and method to enhance channel stress by using optimized sti stress and nitride capping layer stress
JP5166789B2 (ja) * 2007-07-20 2013-03-21 ルネサスエレクトロニクス株式会社 半導体装置
US7772097B2 (en) * 2007-11-05 2010-08-10 Asm America, Inc. Methods of selectively depositing silicon-containing films
KR100971414B1 (ko) * 2008-04-18 2010-07-21 주식회사 하이닉스반도체 스트레인드 채널을 갖는 반도체 소자 및 그 제조방법
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
KR101561059B1 (ko) * 2008-11-20 2015-10-16 삼성전자주식회사 반도체 소자 및 그 제조 방법
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
US7994015B2 (en) 2009-04-21 2011-08-09 Applied Materials, Inc. NMOS transistor devices and methods for fabricating same
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8999798B2 (en) * 2009-12-17 2015-04-07 Applied Materials, Inc. Methods for forming NMOS EPI layers
KR101574107B1 (ko) * 2010-02-11 2015-12-04 삼성전자 주식회사 반도체 장치의 제조 방법
CN102468164B (zh) * 2010-10-29 2014-10-08 中国科学院微电子研究所 晶体管及其制造方法
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8501569B2 (en) * 2011-06-10 2013-08-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having gradient doping profile
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9202914B2 (en) 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US20140057399A1 (en) * 2012-08-24 2014-02-27 International Business Machines Corporation Using Fast Anneal to Form Uniform Ni(Pt)Si(Ge) Contacts on SiGe Layer
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9041126B2 (en) * 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9590037B2 (en) 2014-03-19 2017-03-07 International Business Machines Corporation p-FET with strained silicon-germanium channel
CN105206530A (zh) * 2014-06-27 2015-12-30 中芯国际集成电路制造(上海)有限公司 Pmos晶体管的形成方法
US20160056261A1 (en) * 2014-08-22 2016-02-25 Globalfoundries Inc. Embedded sigma-shaped semiconductor alloys formed in transistors
EP3358626B1 (en) * 2017-02-02 2022-07-20 Nxp B.V. Method of making a semiconductor switch device

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5168072A (en) * 1990-10-12 1992-12-01 Texas Instruments Incorporated Method of fabricating an high-performance insulated-gate field-effect transistor
US6159815A (en) * 1996-09-27 2000-12-12 Siemens Aktiengesellschaft Method of producing a MOS transistor
US6518155B1 (en) * 1997-06-30 2003-02-11 Intel Corporation Device structure and method for reducing silicide encroachment
US6777759B1 (en) 1997-06-30 2004-08-17 Intel Corporation Device structure and method for reducing silicide encroachment
JP3063692B2 (ja) * 1997-08-12 2000-07-12 日本電気株式会社 半導体装置及びその製造方法
US6121100A (en) 1997-12-31 2000-09-19 Intel Corporation Method of fabricating a MOS transistor with a raised source/drain extension
JP3255134B2 (ja) * 1999-01-22 2002-02-12 日本電気株式会社 半導体装置の製造方法
US7391087B2 (en) * 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
US6403482B1 (en) * 2000-06-28 2002-06-11 International Business Machines Corporation Self-aligned junction isolation
JP2002124665A (ja) * 2000-10-12 2002-04-26 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP2003109969A (ja) * 2001-09-28 2003-04-11 Toshiba Corp 半導体装置及びその製造方法
US6621131B2 (en) * 2001-11-01 2003-09-16 Intel Corporation Semiconductor transistor having a stressed channel
US20040033677A1 (en) * 2002-08-14 2004-02-19 Reza Arghavani Method and apparatus to prevent lateral oxidation in a transistor utilizing an ultra thin oxygen-diffusion barrier
DE10246718A1 (de) * 2002-10-07 2004-04-22 Infineon Technologies Ag Feldeffekttransistor mit lokaler Source-/Drainisolation sowie zugehöriges Herstellungsverfahren
CN1684246B (zh) * 2004-03-30 2010-05-12 三星电子株式会社 低噪声和高性能电路以及制造方法
US7413957B2 (en) 2004-06-24 2008-08-19 Applied Materials, Inc. Methods for forming a transistor

Also Published As

Publication number Publication date
KR20070029711A (ko) 2007-03-14
US20080280413A1 (en) 2008-11-13
JP2008504682A (ja) 2008-02-14
US20080299735A1 (en) 2008-12-04
US7833869B2 (en) 2010-11-16
WO2006011939A2 (en) 2006-02-02
US20050287752A1 (en) 2005-12-29
WO2006011939A3 (en) 2006-10-19
US7413957B2 (en) 2008-08-19
US7968413B2 (en) 2011-06-28
EP1759409A2 (en) 2007-03-07

Similar Documents

Publication Publication Date Title
JP5030774B2 (ja) トランジスタ形成方法
US7060579B2 (en) Increased drive current by isotropic recess etch
US8288825B2 (en) Formation of raised source/drain structures in NFET with embedded SiGe in PFET
KR101475364B1 (ko) 반도체 장치 및 반도체 장치의 제조 방법
US7714394B2 (en) CMOS semiconductor devices having elevated source and drain regions and methods of fabricating the same
US7786518B2 (en) Growth of unfaceted SiGe in MOS transistor fabrication
US7332439B2 (en) Metal gate transistors with epitaxial source and drain regions
US8679910B2 (en) Methods of fabricating devices including source/drain region with abrupt junction profile
US8114727B2 (en) Disposable spacer integration with stress memorization technique and silicon-germanium
US7122435B2 (en) Methods, systems and structures for forming improved transistors
US8361895B2 (en) Ultra-shallow junctions using atomic-layer doping
US7892930B2 (en) Method to improve transistor tox using SI recessing with no additional masking steps
US8847282B2 (en) Semiconductor device and fabrication method
US20080119025A1 (en) Method of making a strained semiconductor device
JP2010505267A (ja) 応力印加電界効果トランジスタおよびその製造方法
US20100003799A1 (en) Method for forming p-type lightly doped drain region using germanium pre-amorphous treatment
JP2008218725A (ja) 半導体装置とその製造方法
CN100561689C (zh) 用于形成晶体管的方法
JP2008263114A (ja) 半導体装置の製造方法および半導体装置
US20060099744A1 (en) System and method for improved dopant profiles in CMOS transistors
TWI485783B (zh) 具有封裝的壓力源區域的半導體裝置及製作方法
KR101673920B1 (ko) 반도체 장치의 제조 방법
JP2007305889A (ja) 半導体装置およびその製造方法
US20090170256A1 (en) Annealing method for sige process
KR101673908B1 (ko) 반도체 소자 및 그 제조 방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20080530

RD03 Notification of appointment of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7423

Effective date: 20101130

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20101210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111208

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120110

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20120409

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20120416

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120427

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120605

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120626

R150 Certificate of patent or registration of utility model

Ref document number: 5030774

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20150706

Year of fee payment: 3

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees