JP2010003812A - 半導体装置およびその製造方法 - Google Patents

半導体装置およびその製造方法 Download PDF

Info

Publication number
JP2010003812A
JP2010003812A JP2008160469A JP2008160469A JP2010003812A JP 2010003812 A JP2010003812 A JP 2010003812A JP 2008160469 A JP2008160469 A JP 2008160469A JP 2008160469 A JP2008160469 A JP 2008160469A JP 2010003812 A JP2010003812 A JP 2010003812A
Authority
JP
Japan
Prior art keywords
gate electrode
region
electrode structure
semiconductor
active region
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2008160469A
Other languages
English (en)
Inventor
Takashi Sakuma
崇 佐久間
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Priority to JP2008160469A priority Critical patent/JP2010003812A/ja
Priority to US12/404,547 priority patent/US8648422B2/en
Publication of JP2010003812A publication Critical patent/JP2010003812A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28518Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • H01L21/823425MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures manufacturing common source or drain regions between a plurality of conductor-insulator-semiconductor structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • H01L29/7834Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's with a non-planar structure, e.g. the gate or the source or the drain being non-planar
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0684Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape, relative sizes or dispositions of the semiconductor regions or junctions between the regions
    • H01L29/0692Surface layout

Abstract

【課題】
歪み技術を用いたMOSトランジスタにおいて、リーク電流を抑える。
【解決手段】
半導体装置は、第1の格子定数を有する第1の半導体で形成された半導体基板に形成され、活性領域を画定する素子分離領域と、活性領域の中間位置を横断して、半導体基板上方にゲート絶縁膜を介して形成されたゲート電極と、ゲート電極側壁上に形成されたサイドウォールスペーサとを含むゲート電極構造と、ゲート電極構造両側の活性領域と素子分離領域との界面が半導体基板の表面に表出した境界の一部を覆って半導体基板の表面上方に配置された他のゲート電極構造であって、他のゲート電極と該他のゲート電極の側壁上に形成された他のサイドウォールスペーサとを含む他のゲート電極構造と、ゲート電極構造と他のゲート電極構造の間の活性領域をエッチして形成されたリセスと、リセスを埋めてエピタキシャル成長され、第1の格子定数と異なる第2の格子定数を有する第2の半導体で形成された半導体層と、を有する。
【選択図】 図2

Description

本発明は、MOSトランジスタを高集積化した半導体装置及びその製造方法に関し、特に歪み技術を適用した半導体装置およびその製造方法に関する。
なお、ゲート絶縁膜を介してゲート電極を形成した絶縁ゲート型電界効果トランジスタをMISトランジスタと呼ぶ。特にゲート絶縁膜が酸化シリコン膜を基本としている場合には、MOSトランジスタと呼ぶ。ゲート絶縁膜は酸化シリコン膜に限らず、酸化窒化シリコン膜や酸化窒化シリコン膜上にHfSiO膜等の高誘電率膜を積層した構造でもよい。ゲート電極はポリシリコン膜に限らず、アモルファスシリコン膜、ポリシリコン膜上にシリサイド膜を積層したポリサイド膜や金属膜であってもよい。
従来、スケーリング則に従ってMOSトランジスタを微細化することにより、MOSトランジスタを高速化してきた。スケーリング則に従ってゲート酸化膜を薄くするとリーク電流が大きくなるようになった。リーク電流を抑えようとすると、MOSトランジスタの微細化が高速化に繋がらないようになってきた。
微細化に拠らずに高速化を図るための技術として、いわゆる歪み技術(ストレインドシリコン strained silicon等とも呼ばれる)が注目されている。歪み技術とは、何らかの方法によってチャネルに圧縮応力または引張応力を加えてキャリアの移動度を向上させる手法である。例えば、シリコン(Si)基板を用いて形成するpチャネル型MOS電界効果トランジスタ(pMOSFET)のソース・ドレイン領域の一部に、Siより格子定数の大きいシリコンゲルマニウム(SiGe)層を埋め込み、チャネル領域に圧縮応力を発生させて正孔の移動度を向上させる技術が提案されている(特許文献1参照)。
nチャネル型MOS電界効果トランジスタ(nMOSFET)の場合は、ソース・ドレイン領域の一部に、Siより格子定数の小さいシリコンカーボン(SiC)層を埋め込み、チャネル領域に引張応力を発生させて電子の移動度を向上させることができる。
特開2006−186240号公報
ソース・ドレインに基板と異なる格子定数の材料を埋め込むと、大きな接合リーク電流を伴なうことがあり、特に素子分離領域と活性領域の境界で接合リークが顕著であることが判った。
本発明の目的は、歪み技術を用いたMOSトランジスタにおいて、リーク電流を抑えることである。
本発明の1観点によれば、
第1の格子定数を有する第1の半導体で形成された半導体基板と、
前記半導体基板に形成され、活性領域を画定する素子分離領域と、
前記活性領域の中間位置を横断して配置され、前記活性領域上方に形成されたゲート電極と、前記ゲート電極側壁上に形成されたサイドウォールスペーサとを含むゲート電極構造と、
前記ゲート電極構造両側の前記活性領域と前記素子分離領域との界面が前記半導体基板の表面に表出した境界の一部を覆って前記半導体基板の表面上方に配置された他のゲート電極構造であって、他のゲート電極と該他のゲート電極の側壁上に形成された他のサイドウォールスペーサとを含む他のゲート電極構造と、
前記ゲート電極構造と前記他のゲート電極構造の間の前記活性領域をエッチして形成されたリセスと、
前記リセスを埋めてエピタキシャル成長され、前記第1の格子定数と異なる第2の格子定数を有する第2の半導体で形成された半導体層と、
を有することを特徴とする半導体装置
が提供される。
本発明の他の観点によれば、
(a)第1の格子定数を有する第1の半導体で形成された半導体基板に、第1の対向辺と第2の対向辺で画定される矩形形状を有する活性領域を画定する素子分離領域を形成する工程と、
(b)前記半導体基板上方に配置され、前記活性領域の中間位置を横断して前記第1の対向辺と交差するゲート電極構造と、前記第2の対向辺の少なくとも一部を覆う他のゲート電極構造を形成する工程であって、
(b−1)前記活性領域表面上にゲート絶縁膜を形成するサブ工程と、
(b−2)前記ゲート絶縁膜を覆ってゲート電極層を形成するサブ工程と、
(b−3)前記ゲート電極層をエッチングして、ゲート電極と他のゲート電極をパターニングするサブ工程と、
(b−4)前記ゲート電極および前記他のゲート電極の側壁上にサイドウォールスペーサを形成するサブ工程と、
を含むゲート電極構造と他のゲート電極構造を形成する工程と、
(c)前記ゲート電極構造と前記他のゲート電極構造との間の前記活性領域をエッチングしてリセスを形成する工程と、
(d)前記リセスを埋め込んで、前記第1の格子定数と異なる第2の格子定数を有する第2の半導体で形成された半導体層をエピタキシャル成長する工程と、
を含む半導体装置の製造方法
が提供される。
半導体基板と異なる格子定数の埋込エピタキシャル層を、素子分離領域から離間して形成することにより、リーク電流が抑制されることが判った。埋め込みエピタキシャル層が素子分離領域から離間して形成された部分を有することにより、同様の効果が期待される。
ゲート電極と同時に他のゲート電極をパターニングし、サイドウォールスペーサを形成し、他のゲート電極とその側壁上のサイドウォールスペーサで構成される他のゲート電極構造が、活性領域と素子分離領域との境界の一部を覆った状態で、基板をエッチングしてリセスを形成することにより、追加工程なしで、リセスを素子分離領域の一部から離間させることができる。リセス内に成長したエピタキシャル層は素子分離領域から離間される。ゲート電極と他のゲート電極を同時にパターニングすることで、パターニングの位置ずれによるバラツキも抑制することができる。
シャロートレンチアイソレーション(STI)によって活性領域を画定した、シリコン基板にSi−Ge混晶エピタキシャル層を埋め込んだ構成において、SiとSi−Geとのヘテロ界面がSTIに接するとリーク電流が増大することが考えられる。そこで、ヘテロ界面がSTI領域に接する従来構造のサンプルと、ヘテロ界面を素子分離領域から離したサンプルとを作成してリーク電流を測定した。
図1A、1Bは、従来構造のサンプルS1の構造を概略的に示す断面図、および平面図である。シリコン基板21に、STIによる酸化シリコン膜の素子分離領域22を形成し、活性領域23,24を画定する。素子分離領域22より深くn型不純物をイオン注入し、n型ウェル25を形成する。活性領域23,24は電気的に連続したn型領域となる。活性領域24をマスクで覆い、活性領域23にp型不純物をイオン注入し、p型領域26を形成する。p型領域26を中間深さまでエッチングし、素子分離領域22に囲まれたリセスを形成する。リセス内にSiGe混晶をエピタキシャル成長し、SiGeエピタキシャル層28を形成する。全ての活性領域24,28の表面にニッケルシリサイド層29を形成する。但し、図1Bではこのニッケルシリサイド層29は図示していない。p型領域28,26とn型領域25とがダイオードを形成する。p型SiGe領域28とp型Si領域26との間のヘテロ界面は活性領域表面とほぼ平行に形成され、素子分離領域22に終端する。
図1C,1Dは、ヘテロ界面を素子分離領域から離したサンプルS2の構造を概略的に示す断面図、および平面図である。STIによる酸化シリコン膜の素子分離領域22形成(活性領域23,24画定)、素子分離領域22より深くn型不純物をイオン注入するn型ウェル25の形成、p型領域26のイオン注入までは図1A,1Bのサンプルと同様である。活性領域24、および活性領域28と素子分離領域22との境界を覆うマスクを用いて素子分離領域22から離間したp型領域26の中央部のみにリセスを形成し、リセス内にSiGe混晶をエピタキシャル成長し、SiGeエピタキシャル層28を形成する。全ての活性領域24,26、28の表面にニッケルシリサイド層29を形成する。但し、図1Bではこのニッケルシリサイド層29は図示していない。p型SiGe領域28とp型Si領域26との間のヘテロ界面は、活性領域23表面に終端し、素子分離領域22から離間される。離間距離は、20nmに設定した。
図1Eは、サンプルS1とS2のリーク電流と累積確率の関係を示すグラフである。横軸はリーク電流(A/μm)を、縦軸は累積確率(%)を示す。サンプルS1は、1×10−14(1E−14のように表記する)A/μm程度のリーク電流のものも10%程度存在するが、約50%のリーク電流は2E−14A/μm以上であり、10%以上のリーク電流が1E−13A/μm以上である。サンプルS2のリーク電流は、2E−15A/μm弱でほぼ一定である。従来技術のサンプルS1に比べて、サンプルS2は約1桁から2桁リーク電流が低いことが判る。Si領域とSiGe領域とのヘテロ界面が素子分離領域に終端するとリーク電流が大きくなるが、ヘテロ界面を素子分離領域から離間させると、リーク電流が大幅に抑制できると考えられる。ヘテロ界面が素子分離領域の一部から離間される場合も、離間した分リーク電流の抑制が期待できる。以下、この実験結果を利用した実施例を説明する。
図2A,2Bは、実施例1による半導体装置を概略的に示す断面図、および平面図である。
図2Aに示すように、Si基板等の単結晶半導体基板2に、公知のシャロートレンチアイソレーション(shallow trench isolation、STI)により素子分離領域3が形成され、活性領域ARが画定される。第1導電型の不純物を導入したウェル4が形成される。活性領域ARの表面上に、酸化シリコン膜等のゲート絶縁膜5を介して、ポリシリコン(ポリシリコン)等を用いてゲート電極6を形成する。ゲート電極6と同時に、素子分離領域と活性領域の境界上にダミーゲート電極15を形成する。ゲート電極6、ダミーゲート電極15をマスクとして、活性領域ARに、第1導電型と逆の第2導電型のドーパント不純物を浅く導入し、ソース・ドレインエクステンション(source drain extension、SDE)領域8を形成する。
ゲート電極6、ダミーゲート電極15の側壁上に、酸化シリコン膜等の絶縁膜からなるサイドウォールスペーサ7を形成し、ゲート電極6、ダミーゲート電極15と共にゲート電極構造GS、ダミーゲート電極構造DGSを形成する。
図2Bは、ゲート電極構造GS、ダミーゲート電極構造DGSを形成した状態を示す半導体基板の平面図である。活性領域ARは、第1の対向辺と、第2の対向辺が画定する矩形形状を有する。ゲート電極6は、活性領域ARを縦方向に横断し、第1の対向辺と交差する。ダミーゲート電極15は、ゲート電極の長手方向と平行に配置され、第2の対向辺を覆っている。ゲート電極6とダミーゲート電極はラインアンドスペースパターンで形成できる。サイドウォールスペーサ7が、ゲート電極6、ダミーゲート電極15の両側の側壁上に形成され、所定幅の基板表面を覆う。
ゲート電極構造GS、ダミーゲート電極構造DGS間の領域に、ソース・ドレインエクステンション領域8より深い領域まで第2導電型のドーパント不純物を導入したソース・ドレイン(source drain、SD)領域9が形成されている。ゲート電極構造GSとダミーゲート電極構造DGSの間の活性領域ARに、サイドウォールスペーサ7の下部に入り込んだリセスが形成され、半導体基板2と格子定数が異なる半導体エピタキシャル層10が埋め込まれている。ゲート電極6、SD領域9および半導体層10の各表面には、ニッケルシリサイド(NiSi)やコバルトシリサイド(CoSi)等のシリサイド層11が形成されている。このようにして、MOSFET1が形成されている。SDとして機能する領域に、半導体基板2と格子定数が異なる半導体層10が埋め込まれていることにより、ゲート電極6直下に画定されるチャネル領域に応力が発生し、そのチャネル領域を移動するキャリアの移動度の向上が図られる。
例えば、半導体基板2にSi基板を用いた場合、第2導電型がp型で、MOSFET1がpチャネルMOSトランジスタである場合、半導体層10は、チャネル領域に圧縮応力を発生させるSiGe層あるいはSiGeC層とすることができる。MOSFET1がnチャネルMOSトランジスタである場合は、半導体層10は、チャネル領域に引っ張り応力を発生させるSiC層とすることができる。SiGe層、SiGeC層、SiC層は、さらに別の元素を含んでもよい。
半導体層10は、素子分離領域と活性領域の境界上のダミーゲート電極構造DGSによって、素子分離領域と活性領域の境界から離間して形成されるため、接合リークが抑制される。
図3A−3Oを参照して、図2A,2Bに示すMOSトランジスタを有する半導体装置の製造方法を説明する。
図3Aに示すように、Si基板51に、pMOSFETを形成する活性領域(「pMOS形成領域」と呼ぶ)30およびnMOSFETを形成する活性領域(「nMOS形成領域」と呼ぶ)40を画定する素子分離領域52を形成する。素子分離領域52は、公知のSTIにより形成する。
例えばスピンコート法により、全面にフォトレジスト膜を形成し、フォトリソグラフィにより、nMOS形成領域40を露出する開口を有するフォトレジストパターンを形成する。フォトレジストパターンをマスクとして、nMOS形成領域40にボロン等のp型の不純物をイオン注入することにより、nMOS形成領域40にp型ウェル53を形成する。その後、フォトレジストパターンは除去する。新たに、pMOS形成領域30を露出するフォトレジストパターンを形成し、pMOS形成領域30にリン等のn型の不純物をイオン注入して、pMOS形成領域30にn型ウェル54を形成する。その後、フォトレジストパターンは除去する。例えば活性領域30,40表面を熱酸化して膜厚1.2nmの酸化シリコン膜を成長し、ゲート絶縁膜55を形成する。ゲート絶縁膜は、酸化シリコン膜の他、これをさらにプラズマ窒化した酸化窒化シリコン膜や、酸化シリコン膜や酸化窒化シリコン膜上に高誘電率のハフニウム(Hf)を含む膜を積層したものでもよい。
基板全面に膜厚100nmのポリシリコン膜56を堆積し、その上に、エッチングマスクとなる窒化シリコン膜57を形成する。フォトリソグラフィ技術を用い、窒化シリコン膜57をダミーゲート電極を含むゲート電極形状にパターニングする。
図3Bにしめすように、パターニングした窒化シリコン膜57a,57b,57cをマスクにポリシリコン膜56およびゲート絶縁膜55を、例えば異方性エッチングする。pMOS形成領域30とnMOS形成領域40とにそれぞれ、ゲート絶縁膜55a,55b,55cを介してゲート電極6a,6b,6cが形成された構造が得られる。ゲート電極6cは素子分離領域と活性領域との境界上方に形成され、図2Bに示すダミーゲート電極15となる。ここで、ゲート電極6a,6b,6c上の窒化シリコン膜57a,57b,57cは、以後に行われる工程でゲート電極6a,6b,6cを保護するため、除去せずに残しておくことが望ましい。
なお、ゲート電極材料としてはポリシリコンのほか、アモルファスシリコンや、金属、チタンナイトライド(TiN)のような金属窒化物、タンタルカーバイド(TaC)のような金属炭化物およびこれらの合金でも良い。
1213837802109_0
図3Cに示すように、熱CVD(chemical vapor deposition)により、基板全面上に酸化シリコン膜、窒化シリコン膜を形成する。例えば、ガスソースとしてテトラエトキシシラン(TEOS)を用い、成膜温度を550℃〜700℃で5nm〜30nmの酸化シリコン膜58を堆積する。酸化シリコン膜58上に、例えば、ガスソースとしてジクロロシラン(SiHCl)を用い、成膜温度を600℃〜800℃で10nm〜60nmの窒化シリコン膜59を堆積する。
図3Dに示すように、例えばスピンコート法により、全面にフォトレジスト膜を形成し、フォトリソグラフィ技術を用いて、pMOS形成領域30に開口60aを有するフォトレジストパターン60を形成する。この開口60aは、後に説明するSiGe層のエピタキシャル成長対象領域に相当する。フォトレジストパターン60をマスクにして、pMOS形成領域30の窒化シリコン膜59および酸化シリコン膜58を、例えば、エッチングガスとしてハイドロフルオロカーボンを用いて異方性エッチングし、pMOS形成領域30のゲート電極6aおよびダミーゲート電極6c(15)の側壁上に、酸化シリコン膜58と窒化シリコン膜59の積層構造で構成されたサイドウォールスペーサ(「第1のサイドウォールスペーサ」と呼ぶ)7を形成する。図2Bに示すゲート電極構造GS,ダミーゲート電極構造DGSが得られる。
図3Eに示すように、ゲート電極構造GS,ダミーゲート電極構造DGSをマスクにして、pMOS形成領域30のSi基板51に対して選択的エッチング(「第1のエッチング」と呼ぶ)を行ない、リセス62を形成する。第1のエッチングは、例えば、エッチングガスに臭化水素(HBr)を用いた反応性イオンエッチング(reactive ion etching、RIE)等により行う。リセス62の深さは、例えば50nm程度とする。第1のエッチング後、フォトレジストパターン60を剥離する。次に、フッ酸(HF)を用いたウェット処理を行ない、リセス62の表面を清浄化する。
図3Fに示すように、酸化シリコン膜58および窒化シリコン膜59をマスクにして、リセス62に対してケミカルドライエッチング(「第2のエッチング」と呼ぶ)を行ない、表面のダメージ層を除去し、サイドウォールスペーサ7の下方に入り込むリセス62aを形成する。この第2のエッチングは、例えば、600℃〜900℃で、塩化水素(HCl)ガスあるいは塩素(Cl2)ガス等を用いて行なう。掘り込む深さは、例えば20nm程度とする。第2のエッチングを行なうことで、リセスの形状を制御し、結晶性のよい表面を露出して良好なエピタキシャル成長を可能にする。図示した断面において、ダミーゲート構造DGSの存在によって、リセス62aの端部は、素子分離領域52から所定距離離間される。
なお、リセス62aの形成は、ウェットエッチングによって行なうことも可能である。ケミカルドライエッチングを行った場合には、リセス62aの形成に続いて後述の選択エピタキシャル成長を行うことが可能で、エッチング後の表面状態の制御が容易であるという利点がある。
図3Gに示すように、例えば減圧熱CVD法により、リセス62aにSiGe層63を選択的にエピタキシャル成長させる。成長条件としては、例えば、ソースとしてジクロルシランSiH2Cl2、ゲルマンGeH4、塩化水素HClおよび水素H2の混合ガスを用い、成膜温度を500℃〜800℃、チャンバー内の圧力を100Pa〜5000Paとする。ガス流量については、例えば、SiH2Cl2の流量を50sccm〜300sccm、GeH4の流量を50sccm〜300sccm、HClの流量を30sccm〜300sccmとする。
SiGe層63に替えてSiGeC層を形成することも可能である。その場合、ソースとして例えば上記混合ガス中にさらに流量2sccm〜50sccmのメチルシランSiCH6を添加する。SiGe層63あるいはSiGeC層を形成する場合、その原料として、SiH2Cl2に替えてシランSiH4、ジシランSi26、トリシランSi38、ヘキサクロロトリシランSi3Cl6を用いてもよく、GeH4に替えてジクロロゲルマンGeH2Cl2を用いてもよく、また、HClに替えてCl2を用いてもよい。
前述のように、活性領域と素子分離領域52の境界の一部を覆うようにダミーゲート電極構造DGSを配置しているため、SiGe層63が素子分離領域52から離間して形成されるようになる。 素子分離領域52からSiGe層63までの距離は、ダミーゲート電極6c(15)の配置箇所とサイドウォールスペーサ7の幅によって決まる。この距離を5nm〜100nmとし、望ましくは10nm〜50nmとする。5nmを下回る場合には、図3Bに示すゲート電極のパターニングの際、フォトリソグラフィの位置ずれが生じたときに、SiGe層63を素子分離領域52から所望の部分で所定距離離間させることができなくなる。100nmを上回る場合には、pMOS形成領域30に占めるSiGe層63の体積が小さくなり、チャネル領域に十分な応力を掛けることが困難になりやすい。
図3Hに示すように、ゲート電極6a,6b,6cの側壁に形成されていた窒化シリコン膜59および酸化シリコン膜58で構成される第1のサイドウォールスペーサ7を除去する。窒化シリコン膜59はリン酸(H3PO4)等を用いて、また、酸化シリコン膜58はHF等を用いて除去する。
図3Iに示すように、例えばスピンコート法により、全面にフォトレジスト膜を形成し、フォトリソグラフィ技術を用いて、nMOS形成領域40に開口64aを有するフォトレジストパターン64を形成する。フォトレジストパターン64をマスクにして、例えばイオン注入法により、ゲート電極6bの両側のp型ウェル53の比較的深い領域に、例えばインジウム(In)等のp型不純物を加速エネルギー50keV、総ドーズ量を5×1013cm-2で導入し、p型のポケット領域Pkpを形成する。ポケット領域Pkpは、ウェル53と同導電型なので、以後図示は省略する。フォトレジストパターン64をマスクにして、例えばイオン注入法により、ゲート電極6bの両側のSi基板51の浅い領域に、例えばヒ素(As)等のn型不純物を加速エネルギーを5keV、ドーズ量を1×1015cm-2で導入し、SDE領域65bを形成する。その後、フォトレジストパターン64を除去する。
図3Jに示すように、例えばスピンコート法により、全面にフォトレジスト膜を形成し、フォトリソグラフィ技術を用いて、pMOS形成領域30に開口65aを有するフォトレジストパターン65を形成する。フォトレジストパターン65をマスクにして、例えばイオン注入法により、ゲート電極6aとダミーゲート電極6cの間のn型ウェル54の比較的深い領域に、例えばAs等のn型不純物を加速エネルギー40keV、ドーズ量を2×1013cm-2で導入し、n型のポケット領域Pknを形成する。ポケット領域Pknは、ウェル54と同導電型なので、以後図示は省略する。フォトレジストパターン65をマスクにして、例えばイオン注入法により、ゲート電極6aとダミーゲート電極6cの間のn型ウェル54の浅い領域に、例えばボロン(B)等のp型不純物を加速エネルギーを0.5keV、ドーズ量を2×1014cm-2で導入し、SDE領域65aを形成する。その後、フォトレジストパターン65を除去する。
図3Kに示すように、熱CVD法により、全面に50nm〜100nmの酸化シリコン膜を形成した後、異方性エッチングを行い、ゲート電極6a,6b,6cの側壁上に酸化シリコン膜からなるサイドウォールスペーサ(「第2のサイドウォールスペーサ」と呼ぶ)7ra,7rb,7rcを形成する。この酸化シリコン膜形成時のソースとしては、例えば、ビスターシャルブチルアミノシラン(BTBAS)と酸素(O2)を用い、成膜温度を500℃〜580℃とする。第1のサイドウォールスペーサ7を除去し、第2のサイドウォールスペーサ7rを形成するが、共にゲート電極 6a,6b,6cの側壁上に形成されるため、膜厚による幅の差は生じても、両側のサイドウォールスペーサの中心位置は変わらない。リセス62a、半導体エピタキシャル層63は、再度形成されたゲート電極構造GSとダミーゲート電極構造DGSとの間の領域に配置される。
図3Lに示すように、例えばスピンコート法により、全面にフォトレジスト膜を形成し、フォトリソグラフィ技術を用いて、pMOS形成領域30に開口68aを有するフォトレジストパターン68を形成する。フォトレジストパターン68をマスクにして、例えばイオン注入法により、n型ウェル53に、例えばB等のp型不純物を、加速エネルギー8keV、ドーズ量を5×1015cm-2で導入し、SDE領域65aより深いSD領域69aを形成する。SD領域69aの形成後、フォトレジストパターン68は除去する。
図3Mに示すように、例えばスピンコート法により、全面にフォトレジスト膜を形成し、フォトリソグラフィ技術を用いて、nMOS形成領域40に開口70aを有するフォトレジストパターン70を形成する。フォトレジストパターン70をマスクにして、例えばイオン注入法により、p型ウェル53に、例えばリン(P)等のn型不純物を、加速エネルギー6keV、ドーズ量を8×1015cm-2で導入し、SDE領域65bより深いSD領域69bを形成する。SD領域69bの形成後、フォトレジストパターン70は除去する。
SD領域69a,69bの形成後、例えばRTA(rapid thermal annealing)法により、導入した不純物を活性化させる熱処理を行なう。熱処理は、例えば1000℃以上の短時間とする。
図3Nに示すように、公知のSALICIDE(elf ligned silicide)法によるシリサイド形成を行なう。例えば、スパッタリング法により、厚さ5nm〜20nm程度のニッケル(Ni)膜を全面に堆積する。熱処理を行なうことにより、NiとSi(Si基板51とゲート電極のポリシリコンの表面が露出している部分)とを反応させる。この熱処理は、例えば、N2やAr等の不活性雰囲気において100℃〜500℃で行なう。Siとの反応に使われなかった余剰Niを、例えば、塩酸と過酸化水素水の混合薬液によって除去する。必要に応じて、第2の熱処理を、例えば、N2やAr等の不活性雰囲気において200℃〜500℃で行なう。これにより、ゲート電極6a,6b,6c、SD領域69a,69bおよびSiGe層63の各表層部に、ニッケルシリサイド(NiSi)膜71が10nm〜40nm程度で形成される。
図3Oに示すように、下層層間絶縁膜IL1を基板全面上に堆積し、MOSトランジスタに接続する導電性プラグPLを埋め込む。下層層間絶縁膜IL1の上に、層間絶縁膜IL2を堆積し、配線溝をエッチングしてシングルダマシン銅配線SDを埋め込む。以降、層間絶縁膜IL3,IL4,IL5堆積、デュアルダマシン配線溝形成、デュアルダマシン銅配線DD1,DD2,DD3埋込を所望回繰り返し、金属多層配線を形成し、ULSI半導体装置を製造する。
上記の実施例においては、図2Bに示すように、第1の対向辺と第2の対向辺とが画定する矩形活性領域に対して、活性領域を横断し、第1の対向辺と交差するゲート電極、第2の対向辺を覆うダミーゲート電極を形成し、ダミーゲート電極構造によって半導体エピタキシャル層を素子分離領域から離間して形成した。ダミーゲート電極の配置はこれに限らない。
図4A,4B,4Cはダミーゲート電極構造の他の配置形状を示す平面図である。
図4Aに示すように、矩形活性領域の第2の対向辺を覆い、さらに第1の対向辺の一部を覆うようにダミーゲート電極15を形成する。素子分離領域と活性領域の境界の大部分を覆ってダミーゲート電極構造を形成できるので、リーク電流抑制効果が大きくなる。回路設計上、ダミーゲート電極構造の配置に制限があることもある。このような場合は、可能な範囲で、ダミーゲート電極を配置すればよい。
図4Bに示すように、図2Bの配置における一方のダミーゲート電極構造DGSを省略してもよい。
図4Cに示すように、図4Aの配置における一方のダミーゲート電極構造DGSを省略してもよい。
図2B,4A−4Cに示したいずれの構成を選択するかは、そのMOSFETの寸法、レイアウト、要求特性等に基づいて決めればよい。これらの配置においては、ダミーゲート電極が活性領域上方にも配置される。ダミーゲート電極の下方にチャネルを誘起すると、予期せぬ悪影響を生じる可能性があるので、ダミーゲート電極は回路機能を有さない純然たるダミーとするのが好ましい。
活性領域と素子分離領域との境界から、半導体エピタキシャル層を離間させる構造は、上記の構造に限らない。他のゲート電極を素子分離領域上に形成し、他のゲート電極の側壁上のサイドウォールスペーサによって境界の一部を覆うことによっても、半導体エピタキシャル層を境界から離間させることは可能である。
図5A−5Cは、他のゲート電極の側壁上のサイドウォールスペーサが活性領域と素子分離領域の境界の一部を覆う実施例2を示す断面図、平面図である。他のゲート電極を素子分離領域上に形成し、他のゲート電極の側壁上に形成される絶縁膜からなるサイドウォールスペーサが素子分離領域と活性領域の境界上にくるように他のゲート電極を配置する。便宜上、他のゲート電極をダミーゲート電極と読んで説明するが、他のゲート電極はダミーである必要はない。他のゲート電極の位置以外は図2A,2Bに示す構成と同じである。実施例2の製造工程は、実施例1の製造工程と同様でよい。
図5Aに示すように、シリコン基板51に素子分離領域52を形成し、n型ウェル54、p型ウェル53を形成する。活性領域表面にゲート絶縁膜55を形成し、その上にポリシリコンゲート電極層6、絶縁マスク層57を形成し、ゲート電極6a、6b、6cをパターニングする。ゲート電極6cは素子分離領域52上に形成され、ダミーゲート電極15として機能する。酸化シリコン膜58、窒化シリコン膜59を堆積し、p型ウェル53を覆うフォトレジストパターン60を形成する。フォトレジストパターン60をマスクとして、異方性エッチングを行って、ゲート電極6a、6cの側壁上にサイドウォールスペーサ7を形成する。ダミーゲート電極6cの側壁上に形成されるサイドウォールスペーサ7は、素子分離領域52からn型ウェル54上に延在し、素子分離領域52とn型ウェル54の境界から所定距離n型ウェル54を覆う。
図5Bはサイドウォールスペーサ7を形成した状態の基板の平面図である。活性領域ARは、第1の対向辺と、第2の対向辺が画定する矩形形状を有する。ゲート電極6は、活性領域ARを縦方向に横断し、第1の対向辺と交差する。ダミーゲート電極15は、素子分離領域52上に形成されている。ダミーゲート電極15の内側側面上のサイドウォールスペーサ7は、第2の対向辺を覆うように形成され、素子分離領域と活性領域の間の境界のうち、ゲート電極の長手方向と平行な境界を覆っている。図5A,5Bの状態は、図3Dの状態に相当する。
図3E−3Gに示す工程と同様の工程で、n型ウェル54にリセスをエッチングし、リセスを埋め込んでSiGeエピタキシャル層を成長する。図3H−3Jに示す工程と同様の工程で、サイドウォールスペーサ7を除去し、エクステンション領域をイオン注入する。図3K−3Nに示す工程と同様の工程で、サイドウォールスペーサを形成し、SD領域をイオン注入し、サリサイド工程を行う。
図5Cに示すように、ゲート電極構造GSとダミーゲート電極構造DGSとの間の領域において、リセスが形成され、リセスを埋め込んで半導体エピタキシャル層63が成長されている。SDE領域、SD領域が半導体エピタキシャル層を囲むように形成されている。他のゲート電極を素子分離領域上に配置し、他のゲート電極の側壁上に形成されるサイドウォールスペーサが活性領域と素子分離領域の境界を覆う配置は、図5Bに示すものに限らない。
図6A−6Cは、他のゲート電極が素子分離領域上に配置され、他のゲート電極の側壁上のサイドウォールスペーサが活性領域と素子分離領域の境界の一部を覆う他の配置を示す平面図である。
図6Aに示すように、活性領域ARは、第1の対向辺と、第2の対向辺が画定する矩形形状を有し、ゲート電極構造GSは、活性領域ARを縦方向に横断し、第1の対向辺と交差する。矩形活性領域の第2の対向辺、および第1の対向辺の一部の外側に他のゲート電極15が配置される。他のゲート電極の側壁上にサイドウォールスペーサ7を形成したダミーゲート電極構造DGSは、第2の対向辺を覆い、さらに第1の対向辺の一部を覆うように配置されている。素子分離領域と活性領域の境界の大部分を覆ってダミーゲート電極構造を形成できるので、リーク電流抑制効果が大きくなろう。回路設計上、ダミーゲート電極構造の配置に制限があることもある。このような場合は、可能な範囲で、ダミーゲート電極を配置すればよい。
図6Bに示すように、図5Bの配置における一方のダミーゲート電極構造DGSを省略してもよい。
図6Cに示すように、図6Aの配置における一方のダミーゲート電極構造DGSを省略してもよい。
なお、半導体エピタキシャル層を素子分離領域から離間して形成する構成の例は、これまでに例示したものに限定されない。活性領域内の半導体エピタキシャル層が、素子分離領域の少なくとも一部と離間して形成されていれば(離間している部分が点在しているような場合を含む)、一定のリーク電流抑制効果を得ることが可能である。素子分離領域上に形成され、ダミーゲート電極と呼んだ他のゲート電極は、電圧を印加しても寄生チャネルを誘起しない。従って、他のMOSトランジスタのゲート電極を他のゲート電極として利用することもできる。
図7に示すように、隣接する2つのpMOSFET30a,30bとnMOSFET40が配置されている。nMOSFET40bのゲート電極6bが延在して、pMOSFET30bの他のゲート電極を構成する。他のゲート電極6bの側壁上のサイドウォールスペーサ7が、pMOSFET30bと素子分離領域の境界を覆っている。また、隣接する2つのpMOSFET30a、30bの間に1つのダミーゲート電極6cが形成され、その両側のサイドウォールスペーサ7が離間領域51aを形成している。この例のように他のMOSFETのゲート電極のサイドウォールスペーサを使用して離間領域を構成することが可能である。また、複数の離間領域を1つのダミーゲート電極で同時に形成することも可能である。
前述の実施例では、SDE領域の形成より前にSiGeエピタキシャル層を形成した。エピタキシャル成長の加熱工程後に、エクステンションを形成するので、浅いエクステンション形成が容易になる。しかし、一旦形成した第1のサイドウォールスペーサを除去し、エクステンション形成後第2のサイドウォールスペーサを形成することが必要で、工程数が多くなる。SDE領域を形成した後にSiGeエピタキシャル層を形成してもよい。
図8A−8Nは、SDE領域、SD領域形成後に、半導体エピタキシャル層を埋め込む製造方法の主要工程を示す半導体基板の断面図である。
図8Aに示すように、Si基板51に、pMOS形成領域30およびnMOS形成領域40を画定する素子分離領域52を形成する。素子分離領域52は、STIにより形成する。フォトレジストパターンで活性領域を切り分け、nMOS形成領域40にボロン等のp型の不純物をオン注入することにより、nMOS形成領域40にp型ウェル53を形成する。同様に、pMOS形成領域30についても、リン等のn型の不純物を導入して、pMOS形成領域30にn型ウェル54を形成する。活性領域全面に膜厚1.2nmのゲート絶縁膜55を、例えば熱酸化法により形成する。ゲート絶縁膜は、酸化シリコン膜の他、これをさらにプラズマ窒化した酸化窒化シリコン膜や、高誘電率のハフニウム(Hf)を含む膜を使用してもよい。ゲート絶縁膜を覆って基板全面上に膜厚100nmのポリシリコン膜6を堆積する。
図8Bに示すように、例えばスピンコート法により、全面にフォトレジスト膜を形成し、フォトリソグラフィ技術を用いて、nMOS形成領域40に開口101aを有するフォトレジストパターン101を形成する。フォトレジストパターン101をマスクにして、ポリシリコン膜56に、例えばイオン注入法によりリン(P)等のn型不純物を、加速エネルギー10keV、ドーズ量を8×1015cm-2で導入する。その後、フォトレジストパターン101を除去する。pMOSFET領域に開口を有するフォトレジストパターンを形成して、例えばイオン注入法により、ポリシリコン膜56に、例えばボロン(B)等のp型不純物を、加速エネルギー5keV、ドーズ量を5×1015cm-2で導入する。ゲート電極形状を有するフォトレジストパターンを形成し、ポリシリコン膜56およびゲート絶縁膜55を、例えば異方性エッチングによりパターニングする。
図8Cに示すように、pMOS形成領域30とnMOS形成領域40にそれぞれ、ゲート絶縁膜55a,55bを介してゲート電極6a,6bが形成され、pMOS形成領域と素子分離領域の境界上にゲート絶縁膜55cを介してダミーゲート電極6cが形成された構造が得られる。
図8Dに示すように、スピンコート法により、基板全面にフォトレジスト膜を形成し、フォトリソグラフィ技術を用いて、nMOS形成領域40に開口64aを有するフォトレジストパターン64を形成する。フォトレジストパターン64をマスクにして、例えばイオン注入法により、ゲート電極6bの両側のp型ウェル53の比較的深い領域に、例えばインジウム(In)等のp型不純物を加速エネルギー50keV、総ドーズ量を5×1013cm-2で導入し、p型のポケット領域Pkpを形成する。続いて、フォトレジストパターン64をマスクに、例えばイオン注入法により、ゲート電極6bの両側のp型ウェル53の浅い領域に、例えばヒ素(As)等のn型不純物を加速エネルギーを5keV、ドーズ量を1×1015cm-2で導入し、SDE領域65bを形成する。その後、フォトレジストパターン64を除去する。なお、ポケット領域はPkpp型ウェル53と同導電型なので、以後図示を省略する。
図8Eに示すように、スピンコート法により、全面にフォトレジスト膜を形成し、フォトリソグラフィ技術を用いて、pMOS形成領域30に開口65aを有するフォトレジストパターン65を形成する。フォトレジストパターン65をマスクにして、例えばイオン注入法により、ゲート電極6aとダミーゲート電極6cの間のn型ウェル54の比較的深い領域に、例えばAs等のn型不純物を加速エネルギー40keV、総ドーズ量を2×1013cm-2で導入し、n型のポケット領域Pknを形成する。フォトレジストパターン65をマスクに、例えばイオン注入法により、ゲート電極6aとダミーゲート電極6cの間のn型ウェル54の浅い領域に、例えばボロン(B)等のp型不純物を加速エネルギーを0.5keV、ドーズ量を2×1014cm-2で導入し、SDE領域65aを形成する。その後、フォトレジストパターン65を除去する。
図8Fに示すように、例えばCVD法により、例えば膜厚10nmの酸化シリコン膜102を形成し、続いて、例えば膜厚80nmの窒化シリコン膜103を形成する。その後、例えばRIE法により、窒化シリコン膜103および酸化シリコン膜102を異方性エッチングし、ゲート電極6a、6b、6cの側壁上に、酸化シリコン膜102と窒化シリコン膜103の積層構造で構成されたサイドウォールスペーサ7を形成する。ゲート電極とそのサイドウォールスペーサをあわせてゲート電極構造と呼び、ダミーゲート電極とそのサイドウォールスペーサをあわせてダミーゲート電極構造と呼ぶことがある。
図8Gに示すように、フォトレジストパターンで活性領域を切り分け、nMOS形成領域には、例えばAs等のn型不純物を加速エネルギー10keV、ドーズ量2×1015cm-2でイオン注入しSDE領域をさらに低抵抗化させる領域105bを形成し、pMOS形成領域には、例えばB等のp型不純物を加速エネルギー2keV、ドーズ量を1×1015cm-2でイオン注入し、SDE領域をさらに低抵抗化する領域105aを形成する。なお本工程は、MOSFETの寄生抵抗を削減するための補助的なものであり、省略してもよい。
図8Hに示すように、例えばCVD法により、基板全面に膜厚40nmの酸化シリコン膜106を形成し、例えばRIE法により、酸化シリコン膜106を異方性エッチングして、サイドルウォールスペーサ7の側壁上に、酸化シリコン膜から成るサイドウォールスペーサ106を形成する。
図8Iに示すように、フォトレジストパターンで活性領域を切り分け、nMOS形成領域には、例えばP等のn型不純物を加速エネルギー8keV、ドーズ量8×1015cm-2でイオン注入してSD領域69bを形成し、pMOS形成領域には、例えばB等のp型不純物を加速エネルギー5keV、ドーズ量5×1015cm-2でイオン注入してSD領域69aを形成する。
SD領域69a,69bの形成後、例えばRTA法により、導入した不純物を活性化させる熱処理を行なう。熱処理は、例えば900℃以上の短時間とする。その後、サイドウォールスペーサ106をウェットエッチングにより除去する。
図8Kに示すように、基板全面に、例えばCVD法により、例えば膜厚40nmの酸化シリコン膜107を形成する。全面にフォトレジスト膜を形成し、フォトリソグラフィ技術を用いて、pMOS形成領域30に開口108aを有するフォトレジストパターン108を形成する。フォトレジストパターン108をマスクにして、pMOS形成領域30の酸化シリコン膜107を、エッチングで除去する。
図8Lに示すように、例えばRIE法によって、pMOS形成領域30のゲート電極構造GSとダミーゲート電極構造DGSの間のSi基板51に対して選択的エッチングを行ない、例えば深さ50nmのリセスを形成する。この時、pMOS形成領域30のゲート電極6a、6cの上部もエッチングされる。フッ酸(HF)を用いたウェット処理を行ない、リセスの表面を清浄化する。続いて、リセスに対してケミカルドライエッチングを行ない、図に示すようなサイドウォールスペーサの下方に入り込むリセス62aを形成する。エッチング後、フォトレジストパターン108を除去する。
図8Mに示すように、例えば減圧熱CVD法により、リセス62aおよびゲート電極6a、6cの上部にSiGe層63を選択的にエピタキシャル成長させる。
図8Nに示すように、nMOS形成領域40上の酸化シリコン膜107を例えばフッ酸処理により除去する。
このように、ダミーゲート電極構造DGSによって、SiGe層63が素子分離領域52から離間して形成されるようになる。後は、公知のSALICIDE法および多層配線技術を用いて、ULSI半導体装置を製造する。
上記の説明では、主に、pMOSFETのチャネル領域に応力を発生させるための半導体エピタキシャル層としてSiGe層を成長する場合を例にして述べたが、SiGe層に替えてSiGeC層を成長するようにしてもよい。また、nMOSFETのチャネル領域に応力を発生させるために半導体エピタキシャル層を形成することも可能である。そのような半導体エピタキシャル層としては、例えばSiC層を用いることができる。nMOSFETにそのような半導体エピタキシャル層を形成する場合においても、素子分離領域の少なくとも一部から離間して形成するようにする。
以上実施例に沿って、本発明を説明したが、本発明はこれらに限定されるものではない。例えば、種々の変更、置換、改良、組み合わせ等が可能なことは当業者に自明であろう。
、および 図1A、1Bは、従来構造のサンプルS1の構造を概略的に示す断面図、および平面図、図1C,1Dは、ヘテロ界面を素子分離領域から離したサンプルS2の構造を概略的に示す断面図、および平面図、図1Eは、サンプルS1とS2のリーク電流と累積確率の関係を示すグラフである。 図2A,2Bは、実施例1による半導体装置を概略的に示す断面図、および平面図である。 、および 図3A−3Oは、半導体エピタキシャル層を埋め込んだ後、SDE領域、SD領域を形成して、図2A,2Bに示すMOSトランジスタを含む半導体装置を製造する製造方法の主要工程を示す半導体基板の断面図である。 図4A,4B,4Cはダミーゲート電極構造の他の配置形状を示す平面図である。 図5A、5B,5Cは、サイドウォールスペーサが活性領域と素子分離領域の境界の一部を覆う実施例2による半導体装置を概略的に示す断面図、平面図である。 図6A、6B,6Cは、他のゲート電極が素子分離領域上に配置され、他のゲート電極の側壁上のサイドウォールスペーサが活性領域と素子分離領域の境界の一部を覆う他の配置を示す平面図である。 図7は、他のゲート電極構造の変形例を示す半導体基板の平面図である。 、および 図8A−8Nは、SDE領域、SD領域形成後に、半導体エピタキシャル層を埋め込んで、図2A,2Bに示すMOSトランジスタを含む半導体装置を製造する製造方法の主要工程を示す半導体基板の断面図である。
符号の説明
1 MOSFET,
2 半導体基板、
3 素子分離領域、
4 ウェル、
5 ゲート絶縁膜、
6 ゲート電極、
6a ゲート電極、
6c ダミーゲート電極、
7 サイドウォールスペーサ、
8 ソース・ドレインエクステンション領域、
9 ソース・ドレイン領域、
10 半導体エピタキシャル層、
11 シリサイド層、
15 ダミーゲート電極、
GS ゲート電極構造、
DGS ダミーゲート電極構造。

Claims (10)

  1. 第1の格子定数を有する第1の半導体で形成された半導体基板と、
    前記半導体基板に形成され、活性領域を画定する素子分離領域と、
    前記活性領域上方に形成されたゲート電極構造と、
    前記ゲート電極構造両側の前記活性領域と前記素子分離領域との境界の一部を覆って前記半導体基板の表面上方に配置された他のゲート電極構造と、
    前記ゲート電極構造と前記他のゲート電極構造の間の前記活性領域をエッチして形成されたリセスと、
    前記リセスを埋めてエピタキシャル成長され、前記第1の格子定数と異なる第2の格子定数を有する第2の半導体で形成された半導体層と、
    を有することを特徴とする半導体装置。
  2. 前記他のゲート電極構造の他のゲート電極が、前記境界の一部を覆い、前記他のゲート電極構造は回路機能を有さないダミー構造である請求項1に記載の半導体装置。
  3. 前記他のゲート電極構造の前記ゲート電極構造側の他のサイドウォールスペーサが、前記境界の一部を覆い、前記他のゲート電極は前記素子分離領域上に配置されている請求項1に記載の半導体装置。
  4. 前記活性領域が第1の対向辺と、第2の対向辺で画定される矩形形状を有し、前記ゲート電極構造が前記第1の対向辺と交差して配置され、前記他のゲート電極構造が前記第2の対向辺の少なくとも一方を覆って配置されている請求項1〜3のいずれか1項に記載の半導体装置。
  5. 前記他のゲート電極構造が前記第1の対向辺の一部を覆う部分を有する請求項1〜4のいずれか1項に記載の半導体装置。
  6. 前記第1の半導体はシリコンであり、前記第2の半導体は、SiGe、SiGeCまたはSiCである請求項1〜5のいずれか1項に記載の半導体装置。
  7. 前記半導体層と前記素子分離領域との離間距離が、5nm〜100nmである請求項1〜6のいずれか1項に記載の半導体装置。
  8. 第1の格子定数を有する第1の半導体で形成された半導体基板に、第1の対向辺と第2の対向辺で画定される矩形形状を有する活性領域を画定する素子分離領域を形成する工程と、
    前記半導体基板上方に配置され、前記活性領域の中間位置を横断して前記第1の対向辺と交差するゲート電極構造と、前記第2の対向辺の少なくとも一部を覆う他のゲート電極構造を形成する工程であって、
    前記活性領域表面上にゲート絶縁膜を形成するサブ工程と、
    前記ゲート絶縁膜を覆ってゲート電極層を形成するサブ工程と、
    前記ゲート電極層をエッチングして、ゲート電極と他のゲート電極をパターニングするサブ工程と、
    前記ゲート電極および前記他のゲート電極の側壁上にサイドウォールスペーサを形成するサブ工程と、
    を含むゲート電極構造と他のゲート電極構造を形成する工程と、
    前記ゲート電極構造と前記他のゲート電極構造との間の前記活性領域をエッチングしてリセスを形成する工程と、
    前記リセスを埋め込んで、前記第1の格子定数と異なる第2の格子定数を有する第2の半導体で形成された半導体層をエピタキシャル成長する工程と、
    を含む半導体装置の製造方法。
  9. 前記半導体層をエピタキシャル成長する工程後、前記サイドウォールスペーサを除去する工程と、
    前記ゲート電極、前記他のゲート電極をマスクとして、不純物を前記活性領域にイオン注入し、ソース・ドレインエクステンション領域を形成する工程と、
    前記ゲート電極、前記他のゲート電極の側壁上に他のサイドウォールスペーサを形成する工程と、
    前記ゲート電極、前記他のゲート電極、前記他のサイドウォールスペーサをマスクとして、不純物を前記活性領域に、前記ソース・ドレインエクステンション領域より深くイオン注入し、ソース・ドレイン領域を形成する工程と、
    をさらに含むことを特徴とする半導体装置の製造方法。
  10. 前記ゲート電極と他のゲート電極をパターニングするサブ工程と前記ゲート電極および前記他のゲート電極の側壁上にサイドウォールスペーサを形成するサブ工程の間に、前記ゲート電極、前記他のゲート電極をマスクとして、不純物を前記活性領域にイオン注入し、ソース・ドレインエクステンション領域を形成する工程と、
    前記ゲート電極および前記他のゲート電極の側壁上にサイドウォールスペーサを形成するサブ工程後に、不純物を前記活性領域に、前記ソース・ドレインエクステンション領域より深くイオン注入し、ソース・ドレイン領域を形成する工程と、
    をさらに含む請求項8記載の半導体装置の製造方法。
JP2008160469A 2008-06-19 2008-06-19 半導体装置およびその製造方法 Pending JP2010003812A (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2008160469A JP2010003812A (ja) 2008-06-19 2008-06-19 半導体装置およびその製造方法
US12/404,547 US8648422B2 (en) 2008-06-19 2009-03-16 Semiconductor device with hetero junction

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008160469A JP2010003812A (ja) 2008-06-19 2008-06-19 半導体装置およびその製造方法

Publications (1)

Publication Number Publication Date
JP2010003812A true JP2010003812A (ja) 2010-01-07

Family

ID=41430331

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008160469A Pending JP2010003812A (ja) 2008-06-19 2008-06-19 半導体装置およびその製造方法

Country Status (2)

Country Link
US (1) US8648422B2 (ja)
JP (1) JP2010003812A (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012004911A1 (ja) * 2010-07-07 2012-01-12 パナソニック株式会社 半導体装置及びその製造方法
JP2015041771A (ja) * 2013-08-22 2015-03-02 三星電子株式会社Samsung Electronics Co.,Ltd. 半導体装置及びその製造方法
US10002943B2 (en) 2013-08-22 2018-06-19 Samsung Electronics Co., Ltd. Semiconductor devices having 3D channels, and methods of fabricating semiconductor devices having 3D channels

Families Citing this family (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8466502B2 (en) * 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8643069B2 (en) * 2011-07-12 2014-02-04 United Microelectronics Corp. Semiconductor device having metal gate and manufacturing method thereof
CN102891178A (zh) * 2011-07-19 2013-01-23 中芯国际集成电路制造(北京)有限公司 半导体器件及其制造方法
US8853035B2 (en) * 2011-10-05 2014-10-07 International Business Machines Corporation Tucked active region without dummy poly for performance boost and variation reduction
US9246004B2 (en) * 2011-11-15 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. Strained structures of semiconductor devices
DE102012003585A1 (de) * 2012-02-27 2013-08-29 Forschungszentrum Jülich GmbH Verfahren zur Herstellung einer einkristallinen Metall-Halbleiter-Verbindung
US10644130B2 (en) * 2012-10-25 2020-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Metal-oxide-semiconductor field-effect transistor with spacer over gate
KR102014724B1 (ko) 2013-01-23 2019-08-27 삼성전자 주식회사 반도체 장치 및 그 제조 방법
KR102085525B1 (ko) 2013-11-27 2020-03-09 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9209179B2 (en) 2014-04-15 2015-12-08 Samsung Electronics Co., Ltd. FinFET-based semiconductor device with dummy gates
KR102233073B1 (ko) 2014-12-03 2021-03-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI672796B (zh) * 2015-10-30 2019-09-21 聯華電子股份有限公司 半導體元件
US10833171B1 (en) * 2019-04-16 2020-11-10 Globalfoundries Inc. Spacer structures on transistor devices
CN111048412B (zh) * 2019-12-23 2022-12-16 上海华力微电子有限公司 冗余结构及其形成方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004095639A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置及びその製造方法
JP2006344873A (ja) * 2005-06-10 2006-12-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2009123997A (ja) * 2007-11-16 2009-06-04 Panasonic Corp 半導体装置及びその製造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3097657B2 (ja) * 1998-05-13 2000-10-10 日本電気株式会社 半導体記憶装置とその製造方法
US6579784B1 (en) * 1999-10-18 2003-06-17 Taiwan Semiconductor Manufacturing Company Method for forming a metal gate integrated with a source and drain salicide process with oxynitride spacers
JP2002016237A (ja) * 2000-06-27 2002-01-18 Hitachi Ltd 半導体集積回路装置およびその製造方法
KR100353539B1 (ko) * 2000-11-24 2002-09-27 주식회사 하이닉스반도체 반도체 소자의 게이트 제조방법
JP2005026586A (ja) * 2003-07-04 2005-01-27 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
KR100488546B1 (ko) * 2003-08-29 2005-05-11 삼성전자주식회사 트랜지스터의 제조방법
FR2880161B1 (fr) 2004-12-28 2007-05-04 Neopost Ind Sa Dispositif de conception et machine pour affranchir un modele personnalise de courrier
JP4369359B2 (ja) 2004-12-28 2009-11-18 富士通マイクロエレクトロニクス株式会社 半導体装置
JPWO2007034553A1 (ja) 2005-09-22 2009-03-19 富士通マイクロエレクトロニクス株式会社 半導体装置およびその製造方法
US7473623B2 (en) * 2006-06-30 2009-01-06 Advanced Micro Devices, Inc. Providing stress uniformity in a semiconductor device
KR100825809B1 (ko) * 2007-02-27 2008-04-29 삼성전자주식회사 스트레인층을 갖는 반도체 소자의 구조 및 그 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004095639A (ja) * 2002-08-29 2004-03-25 Fujitsu Ltd 半導体装置及びその製造方法
JP2006344873A (ja) * 2005-06-10 2006-12-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2009123997A (ja) * 2007-11-16 2009-06-04 Panasonic Corp 半導体装置及びその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012004911A1 (ja) * 2010-07-07 2012-01-12 パナソニック株式会社 半導体装置及びその製造方法
JP2015041771A (ja) * 2013-08-22 2015-03-02 三星電子株式会社Samsung Electronics Co.,Ltd. 半導体装置及びその製造方法
US10002943B2 (en) 2013-08-22 2018-06-19 Samsung Electronics Co., Ltd. Semiconductor devices having 3D channels, and methods of fabricating semiconductor devices having 3D channels
US10269928B2 (en) 2013-08-22 2019-04-23 Samsung Electronics Co., Ltd. Semiconductor devices having 3D channels, and methods of fabricating semiconductor devices having 3D channels

Also Published As

Publication number Publication date
US8648422B2 (en) 2014-02-11
US20090315116A1 (en) 2009-12-24

Similar Documents

Publication Publication Date Title
JP2010003812A (ja) 半導体装置およびその製造方法
US20220029018A1 (en) Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
JP4630728B2 (ja) 半導体装置及びその製造方法
US7714394B2 (en) CMOS semiconductor devices having elevated source and drain regions and methods of fabricating the same
US7592214B2 (en) Method of manufacturing a semiconductor device including epitaxially growing semiconductor epitaxial layers on a surface of semiconductor substrate
JP4937253B2 (ja) コンタクト絶縁層および異なる特性を有するシリサイド領域を形成するための技法
US7879667B2 (en) Blocking pre-amorphization of a gate electrode of a transistor
US8409947B2 (en) Method of manufacturing semiconductor device having stress creating layer
KR101482200B1 (ko) 트랜지스터에서의 개선된 실리사이드 형성과 결합되는 리세스된 드레인 및 소스 영역
JP5389346B2 (ja) Mos電界効果トランジスタおよびその製造方法
US8343827B2 (en) Semiconductor device and manufacturing method of the same
JP2007214481A (ja) 半導体装置
JP2006261283A (ja) 半導体装置およびその製造方法
KR20070110896A (ko) 반도체 장치 및 그 제조 방법
US20100078735A1 (en) Cmos device comprising nmos transistors and pmos transistors having increased strain-inducing sources and closely spaced metal silicide regions
JP2009088069A (ja) 半導体装置及びその製造方法
JP2011009412A (ja) 半導体装置およびその製造方法
JP2007214208A (ja) 半導体装置及びその製造方法
JP2009055027A (ja) Mosトランジスタの製造方法、および、これにより製造されたmosトランジスタ
JP2004063591A (ja) 半導体装置とその製造方法
US7767593B2 (en) Semiconductor device including field effect transistors laterally enclosed by interlayer dielectric material having increased intrinsic stress
JP2008263114A (ja) 半導体装置の製造方法および半導体装置
JP2007305889A (ja) 半導体装置およびその製造方法
JP5099087B2 (ja) 半導体装置の製造方法
JP2009164222A (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20110125

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121025

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121113

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20130402