KR20010078048A - 액정 표시 장치의 제조 장치 및 액정 표시 장치의 제조 방법 - Google Patents

액정 표시 장치의 제조 장치 및 액정 표시 장치의 제조 방법 Download PDF

Info

Publication number
KR20010078048A
KR20010078048A KR1020010003540A KR20010003540A KR20010078048A KR 20010078048 A KR20010078048 A KR 20010078048A KR 1020010003540 A KR1020010003540 A KR 1020010003540A KR 20010003540 A KR20010003540 A KR 20010003540A KR 20010078048 A KR20010078048 A KR 20010078048A
Authority
KR
South Korea
Prior art keywords
film
substrate
cleaning
chamber
liquid crystal
Prior art date
Application number
KR1020010003540A
Other languages
English (en)
Other versions
KR100381807B1 (ko
Inventor
구보타다케시
고마츠노리카즈
Original Assignee
다니구찌 이찌로오, 기타오카 다카시
미쓰비시덴키 가부시키가이샤
구사마 사부로
세이코 엡슨 가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 다니구찌 이찌로오, 기타오카 다카시, 미쓰비시덴키 가부시키가이샤, 구사마 사부로, 세이코 엡슨 가부시키가이샤 filed Critical 다니구찌 이찌로오, 기타오카 다카시
Publication of KR20010078048A publication Critical patent/KR20010078048A/ko
Application granted granted Critical
Publication of KR100381807B1 publication Critical patent/KR100381807B1/ko

Links

Classifications

    • GPHYSICS
    • G02OPTICS
    • G02FOPTICAL DEVICES OR ARRANGEMENTS FOR THE CONTROL OF LIGHT BY MODIFICATION OF THE OPTICAL PROPERTIES OF THE MEDIA OF THE ELEMENTS INVOLVED THEREIN; NON-LINEAR OPTICS; FREQUENCY-CHANGING OF LIGHT; OPTICAL LOGIC ELEMENTS; OPTICAL ANALOGUE/DIGITAL CONVERTERS
    • G02F1/00Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics
    • G02F1/01Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour 
    • G02F1/13Devices or arrangements for the control of the intensity, colour, phase, polarisation or direction of light arriving from an independent light source, e.g. switching, gating or modulating; Non-linear optics for the control of the intensity, phase, polarisation or colour  based on liquid crystals, e.g. single liquid crystal display cells
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/137Associated with semiconductor wafer handling including means for charging or discharging wafer cassette
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/14Wafer cassette transporting

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Nonlinear Science (AREA)
  • Liquid Crystal (AREA)
  • Optics & Photonics (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Recrystallisation Techniques (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Thin Film Transistor (AREA)

Abstract

외부 분위기와 접하는 것에 기인하는 화학적 오염을 방지할 수 있는 액정 표시 장치의 제조 장치 및 액정 표시 장치의 제조 방법이 얻어진다.
세정 처리실(1a, 1b)과, 세정 처리실에서 세정된 층 위에 성막 처리를 실행하는 성막 처리실(2)과, 세정 처리실로부터 성막 처리실로 기판(11)을 외부 분위기에 노출시키지 않고 이송하는 외부 분위기 차단 이송 수단(3, 4)을 구비한다.

Description

액정 표시 장치의 제조 장치 및 액정 표시 장치의 제조 방법{APPARATUS USED FOR FABRICATING LIQUID CRYSTAL DEVICE AND METHOD OF FABRICATING THE SAME}
본 발명은 액정 표시 장치의 제조 장치 및 액정 표시 장치의 제조 방법에 관한 것으로, 특히 구동 회로 일체형 액정 표시 장치 및 그 구동 회로 일체형 액정 표시 장치의 제조 방법에 관한 것이다.
박막 트랜지스터(TFT : Thin Film Transistor)를 이용한 구동 회로 일체형 액정 표시 장치에 있어서, 화소 영역의 TFT는 화소 전극으로의 충전과 전하 유지 스위치의 2가지의 기능을 하고 있을 뿐이었다. 이 때문에, 화소 영역의 TFT로의 요구 성능은 일반적인 반도체 장치에 요구되는 세밀한 임계값 전압의 제어는 필요로 하지 않는다. 따라서, 화소 영역의 TFT에 이용되는 박막 반도체는 비정질 실리콘으로 충분히 유용하고 있었다.
최근, 비정질 실리콘을 레이저 어닐링함으로써 형성된 다결정체 실리콘 TFT을 이용하는 기술 개발이 행해져, 이 다결정 실리콘체 TFT를 이용한 액정 표시 장치가 활발히 제조되고 있다. 이 형의 액정 표시 장치는 구동 회로 영역과 화소 영역을 일체적으로 형성함으로써, 저비용화나 표시 화소의 고세밀화가 가능해지는 이점을 갖는다. 이 다결정체 실리콘 TFT는 구동 회로의 소자에 요구되는 특성을 갖게 할 필요가 있으므로, 고정밀도의 임계값 전압을 유지할 필요가 있다. 이 때문에, 새로운 과제가 발생하고 있다.
비정질 실리콘을 이용한 종래의 액정 표시 장치의 제조 공정에서는, 통상 비정질 실리콘의 성막 처리의 사전 공정에서 이물 제거나 비정질 실리콘막의 밀착력 향상을 위해 세정 처리가 행해져 왔다. 도 12는 종래의 세정 공정으로부터 성막처리 공정에 이르는 경로의 분위기 환경을 나타내는 도면이다. 세정 처리에는 물리 세정 공정(110)과 화학 세정 공정(120)이 있다. 카세트(106)에 탑재된 기판(111)은 자동 반송차(AGV : Automatic Guided Vehicle)(140)에 의해서 로봇(143)에 반입되고, 반송 로봇(104)에 의해서 물리 세정실에 수납되어, 물리 세정 처리가 실시된다. 여기서, 「기판」이라고 할 때, 석영 기판 등의 기판 그 자체 이외에 처리가 실시된 기판도 포함하는 것으로 한다. 우선, UV 램프(151)에 의해서 자외광을 조사하여 유기물이 제거되고, 이어서 브러쉬 세정이나 메가소닉(megasonic) 세정 등의 물리 세정 유닛(152)에서 세정되며, 수세 처리 유닛(154) 및 건조 처리 유닛(155)을 거쳐, 언로더(145)에 보내어진다. 또한, 화학 세정 공정(120)에서는, 약액에 의한 화학 세정이 실시되는 화학 세정 유닛(153)을 구비하지만, 입구 및 출구 기판의 취급은 물리 세정 공정과 동일하다. 이러한 세정이 완료된 기판은 세정실의 분위기로 개방 카세트(106)에 수납되고, AGV에 의해 성막 처리실(102)의 로더/언로더(146)에 반송된다. 여기서, 기판(111)은 반송 로봇(104)에 의해 카세트(106)로부터 취출되고, 성막 처리실내에서 비정질 실리콘의 성막 처리가 실시된다. 이어서, 재차 세정실 분위기에 노출되어 반송되고, 레이저 어닐링 처리실에서 비정질 실리콘의 결정화를 목적으로 하는 레이저 어닐링 처리가 행해진다. 이러한 기판은 성막 처리 장치의 공백 시간과의 관계에서, 보관고(130)에 보관되고, 하지막이 긴 시간에 걸쳐 세정실 분위기에 노출되는 경우도 있다. 지금까지, 이 반송이나 보관 동안, 입자에 대해서는 고 레벨의 부착 방지 관리가 행해져 왔다.
그러나, 상기의 기판이 세정실 분위기에 대해 개방되어 있는 것으로부터 알 수 있는 바와 같이, 기판 표면의 화학적 오염에 관해서는, 충분한 관리는 행해지고 있지 않았다. 이러한 화학적 오염의 영향은 화소 영역에만 이용되고 있던 비정질 실리콘 TFT에서는 전혀 문제로 되지 않는 레벨이다. 그러나, 구동 회로를 구성하는 다결정체 실리콘 TFT에서는, 금속 등의 오염에 의한 도핑 효과나 유기 오염에 의한 다결정체 실리콘의 결정립 성장 등에 미치게 하는 영향이 발생한다. 이 때문에, 임계값 전압이 변동하여, 기판내 편차가 발생하고, 구동 회로에 있어서 오동작 등을 발생하여, 양품율의 저하를 초래하고 있었다.
그래서, 본 발명의 목적은 세정실 분위기와 접하는 것에 기인하는 화학적 오염을 방지하여, 임계값 전압의 변동 등을 발생하지 않도록 하는 것이 가능한 액정 표시 장치의 제조 장치 및 액정 표시 장치의 제조 방법을 제공하는 것에 있다.
도 1은 실시예 1에 있어서의 세정 성막 처리 일체형의 액정 표시 장치의 제조 장치의 개략 구성도,
도 2는 도 1에 있어서의 UV 조사 유닛의 모식적 단면도,
도 3은 도 1에 있어서의 스핀식 습식 세정 유닛의 모식적 단면도,
도 4는 실시예 1에 있어서의 LPCVD 장치의 개략 구성도,
도 5는 실시예 1에 있어서의 PCVD 장치의 개략 구성도,
도 6은 실시예 2에 있어서 세정 처리실로부터 성막 처리실로 기판을 반송하는 기구를 설명하는 도면,
도 7은 실시예 2에 있어서 세정 처리실로부터 성막 처리실로 기판을 반송하는 또 하나의 기구를 설명하는 도면,
도 8은 실시예 3에 있어서 유리 기판 위에 하지막을 형성하고, 비정질 실리콘막을 형성한 후, 어닐링하고 결정화하여, 트랜지스터부를 패터닝한 단계의 단면도,
도 9는 게이트 절연막을 성막하고, 소스/드레인 영역에 불순물 이온을 주입하여, 게이트 배선을 형성한 단계의 단면도,
도 10은 층간 절연막, 소스/게이트 전극 및 보호 절연막을 형성한 단계의 단면도,
도 11은 액정 표시 장치의 구동 회로 영역 및 표시 화소 영역의 단면도,
도 12는 세정 처리 공정으로부터 성막 처리 공정에 이르는 종래의 반송 공정의 분위기를 나타내는 도면.
도면의 주요 부분에 대한 부호의 설명
1a, 1b : 세정 처리실 2 : 성막 처리실(CVD 장치실)
3 : 로드록실 4 : 반송 로봇
5 : 카세트 로드/언로드 6 : 카세트
7 : 화학적 필터 8 : 도어
9 : 스핀식 세정 유닛 11 : 기판
12 : 브러쉬 세정 기구 13 : 물리 세정 및 약액 세정 기구
18 : 밀봉 카세트 21 : UV 조사 유닛
22 : UV 램프 23 : 석영 포트
30 : LPCVD 31 : 밸브
32 : MFC 35 : PCVD
36 : 로드록실 37 : 예비실
38 : 반송 로봇 39 : 성막 처리실
43 : 로더 44 : 세정 처리실
45 : 언로더 46 : 로더/언로더
48 : 완화된 밀폐 통로 51 : UV 조사 유닛
52 : 물리 세정 유닛(브러쉬 세정, 메가소닉 세정)
53 : 약액 세정 유닛 54 : 수세 유닛
55 : 건조 유닛 64 : 트랜지스터부
65 : 게이트 절연막 66 : 게이트 배선
67 : n+형 불순물 영역 68 : p형 불순물 영역
69 : 채널 영역 71 : 층간 절연막
72 : 금속 배선 74 : 보호막
75 : n-형 불순물 영역 76 : n형 트랜지스터
77 : p형 트랜지스터 78 : 액정
81 : 상부 유리 기판 82 : 컬러 필터
83 : 대향 전극 84 : 배향층
85 : 용량 하부 전극 86 : 용량 상부 전극
87 : 화소 전극 88 : 용량
89 : 화소 트랜지스터
본 발명의 액정 표시 장치의 제조 장치는, 기판을 세정하는 세정 처리실과, 세정 처리실에서 세정된 기판에 대해 성막 처리를 실행하는 성막 처리실과, 세정 처리실로부터 성막 처리실로 기판을 외부 분위기에 노출시키지 않고 이송하는 외부 분위기 차단 이송 수단을 구비한다.
이 구성에 의해, 세정 후, 세정실 분위기에 노출되는 것이 없기 때문에, 화학적 오염이 방지된다. 이 때문에, 금속 오염에 의한 도핑 효과나 유기 오염에 의한 결정립도의 편차 발생 등 때문에, 임계값 전압이 변동하는 일이 없게 된다. 또한, 세정 후의 입자 부착을 방지할 수 있다. 이 결과, 구동 회로의 오동작 등에 의해서 제품 양품율이 저하하는 사태를 방지할 수 있다. 또, 상기 기판은 앞서 정의한 기판을 가리킨다.
상기 본 발명의 액정 표시 장치의 제조 장치에서는, 외부 분위기 차단 이송 수단이 세정 처리실로부터 상막 처리실까지 기판이 이송되는 통로에 있어서 외부 분위기가 차단된 외부 분위기 차단 통로와, 해당 외부 분위기 차단 통로내에서 기판을 반송하는 반송기를 구비하고 있다.
이 구성에 의해, 기판을 외부 분위기에 노출시키지 않고 자동적으로 반송하는 것이 용이하게 된다. 또한, 자동화함으로써, 대기 시간이나 반송 시간을 단축하는 것이 용이하게 되어, 제조의 고효율화가 가능해진다.
상기 본 발명의 액정 표시 장치의 제조 장치에서는, 외부 분위기 차단 이송 수단은, 세정 처리실에서 세정된 기판을 외부 분위기에 노출시키지 않고, 외부 분위기와 격리 가능한 밀폐 카세트내에 반입하는 기판 반입 수단과, 성막 처리실에 있어서 기판을 밀폐 카세트내로부터 취출하는 기판 취출 수단을 구비하고 있다.
이 구성에 의해, 기판이 외부 분위기에 노출시키지 않고 밀봉 카세트내에 저장된 채로, 세정 처리실로부터 성막 처리실에 반송되기 때문에, 화학적 오염 및 세정 후의 입자 부착을 방지할 수 있다. 또, 세정 처리실의 언로드부로부터 성막 처리실의 로드부로의 밀봉 카세트의 반송은 AGV와 같은 반송기에 의해서 행해져도 무방하고, 수동으로 행해져도 무방하다.
상기 본 발명의 액정 표시 장치의 제조 장치에서는, 카세트 로드실과, 반송 로봇을 갖는 반송 로봇실(로드록실)을 더 구비하고, 카세트 로드실, 세정 처리실 및 성막 처리실은 모두, 반송 로봇의 주위에 배치되고, 반송 로봇에 의해서 기판을 출납할 수 있도록 반송 로봇실과 연락하고 있다.
이 구성에 의해, 카세트 로드실에서 세정 처리실을 거쳐 성막 처리실까지, 반송 로봇에 의해서 기판을 외부 분위기에 노출시키지 않고 연속적으로 반송하는 것이 가능해진다. 이 결과, 화학적 오염이나 세정 후의 입자 부착을 방지한 후에, 성막 처리의 단시간화 및 고효율화를 실현할 수 있다. 또한, 상기의 각 실을 조립한 장치를 소형화하여 세정실내에서 공간 절약을 실현할 수 있다. 본 장치에 있어서는, 외부 분위기 차단 통로 또는 밀봉 카세트의 내부는, 외부 분위기가 1O3Pa 이하로 감압된 분위기, 질소 가스 분위기, 또는 건조한 공기 분위기로 되어 있는 것이 바람직하다. 기판은 상기의 분위기 중에서, 화학적 오염이나 세정 후의 입자 부착을 받는 일이 없고, 또한 이러한 분위기는 저렴하게 실현할 수 있기 때문에, 제조 비용을 높이는 일이 없게 된다. 또, 질소 가스, 건조한 공기 등을 불활성 가스라고 기재한다.
상기 본 발명의 액정 표시 장치의 제조 장치에서는, 외부 분위기 차단 통로와 연락하여, 외부 분위기와 차단된 처리실에 있어서, 기판에 대해 어닐링 처리를 실시하는 어닐링 처리실을 더 구비하고 있다.
이 구성에 의해, 성막된 막을 외부 분위기에 노출시키지 않고 어닐링 처리할수 있다. 이 때문에, 성막된 비정질 실리콘막의 화학적 오염 등을 방지한 상태에서 어닐링 처리하여 다결정체 실리콘막으로 하는 것이 가능해진다.
상기 본 발명의 액정 표시 장치의 제조 장치에서는, 어닐링 처리실에서 기판에 대해 레이저 어닐링 처리를 할 수 있는 광학 정렬 유닛을 구비하고 있다.
이 구성에 의해, 비정질 실리콘막을 저온에서 결정 입경이 큰 다결정체 실리콘막으로 할 수 있다. 이 때문에, 화학적 오염을 방지한 후에, 구동 회로에 사용할 수 있는 성능을 갖는 TFT를 제조할 수 있다.
상기 본 발명의 액정 표시 장치의 제조 장치에서는, 성막 처리실은 외부 분위기 차단 통로와 연락하는 제 1 성막 처리실과, 외부 분위기 차단 통로와 연락하는 제 2 성막 처리실을 구비하고 있다.
이 구성에 의해, 제 1 성막실에서 성막되는 화학적 오염에 제약을 받지 않는 막, 예컨대 다결정체 실리콘은, 하지막의 측으로부터도, 또한 상측, 즉 게이트 절연막의 측으로부터도 화학적 오염을 방지할 수 있다. 이 결과, 안정한 임계값 전압을 얻을 수 있어, 양품율의 향상을 기할 수 있다.
상기 본 발명의 액정 표시 장치의 제조 장치에서는, 외부 분위기 차단 통로 또는 밀봉 카세트는 외부 분위기로부터 대강 차단되는 정도의 완화된 밀폐 구조를 갖고 있고, 외부 분위기 차단 통로 또는 밀봉 카세트의 내부에서는, 기판에 대해 불활성의 불활성 가스가 외부 분위기에 대해 양(positive)압으로 유지되어 있다. 이 경우, 화학적 필터를 더 구비하고, 해당 화학적 필터를 통해서 질소 가스 또는 건조한 공기가 외부 분위기 차단 통로 또는 밀봉 카세트에 도입되어 있는 것이 바람직하다.
이 구성은 엄밀한 밀폐 구조보다도 저렴하게 실현할 수 있다. 이 때문에, 저렴하게 화학적 오염이나 입자 부착을 방지할 수 있어, 양품율 향상의 효과를 한층 더 얻는 것이 가능해진다.
본 발명의 액정 표시 장치의 제조 방법은, 유리 기판 위에 하지막을 갖는 기판을 세정 처리실에 장입하여, 세정 처리를 실행하는 공정과, 세정이 실행된 기판을 상기 세정 처리실로부터 취출하여, 외부 분위기에 노출시키지 않고 성막 처리실에 장입하는 공정과, 성막 처리실에서 기판 위에 비정질 실리콘막을 성막하는 공정을 구비한다.
이 구성에 의해, 화학적 오염에 제약을 받지 않는 비정질 실리콘막이 하측으로부터 화학적 오염이나 입자 부착을 받는 일이 없기 때문에, 오동작 등을 일으키지 않고, 높은 양품율을 유지하는 것이 가능해진다.
상기 본 발명의 액정 표시 장치의 제조 방법에서는, 비정질 실리콘막을 형성하는 공정 후에, 계속해서 외부 분위기에 노출시키지 않고, 비정질 실리콘막이 성막된 기판을 어닐링하여 다결정체 실리콘막으로 하는 공정을 구비하고 있다.
이 구성에 의해, 화소 영역에서는 고세밀화가 가능해지고, 구동 회로 영역의 구동 트랜지스터에서는 저렴하고 안정한 고정밀도 임계값 전압의 확보가 가능해진다. 또한, 화소 영역과 구동 회로 영역을 일체적으로 형성할 수 있어, 액정 표시 장치에서 중시되는 소형화를 실현할 수 있다.
상기 본 발명의 액정 표시 장치의 제조 방법에서는, 다결정체 실리콘막을 형성하는 공정 후에, 계속해서 외부 분위기에 노출시키지 않고 별도의 성막 처리실에 이송하는 공정과, 그 별도의 성막 처리실에서, 다결정체 실리콘막 위에 게이트 절연막을 형성하는 공정을 구비하고 있다.
이 구성에 의해, 다결정체 실리콘이 하지막의 측으로부터도 게이트 절연막의 측으로부터도 화학적 오염이나 세정 후의 입자 부착을 받는 일이 없게 된다. 이 결과, 임계값 전압의 변동을 한층 더 억제할 수 있어, 양품율 저하를 더욱 확실하게 방지하는 것이 가능해진다.
(발명의 실시예)
다음에, 본 발명의 실시예에 대해 도면을 이용하여 설명한다.
(실시예 1)
도 1을 참조하면, 본 장치는 카세트 로더(5), 스핀식의 습식 세정 유닛(이하, 「세정 유닛」이라고 기재함)(9)이 설치된 세정 유닛실(1a), UV 조사 유닛실(1b) 및 CVD 장치실(2)을 갖고, 각 실간의 기판(11)의 이동은 중앙부의 로드록실(반송 로봇실)(3)에 설치된 반송 로봇(4)에 의해 낱장 단위로 행해진다. 로드록실(3), UV 조사 유닛실(1b), 세정 유닛실(1a), CVD 장치실(2)은 모두 대강 밀폐된 완화 밀폐 구조로 되어 있고, 각각 배관(도시하지 않음)에 의해서 가스를 유도할 수 있는 구조를 갖고, 화학적 필터(7)에 의해서 오염 물질이 제거된 질소 가스 또는 건조한 공기가 도입된다. 각 실내에서는, 본 장치 외부의 분위기에 대해 양압으로 되도록, 유도 가스의 유량 제어를 행하여, 본 장치 외부의 분위기가 혼입하지 않도록 하고 있다. 로드록실(3)에 통하는 각 실의 입구에는 개폐가 자유로운 도어(8)를 마련하여, 각 실에서의 기판 처리중에는 로드록실과 분위기를 분리할 수 있는 구성으로 되어 있다. 카세트 로더(5)와 로드록실(8) 사이에도 개폐 자재의 도어(8)를 마련하여, 기판의 교환시 이외에는 닫아 놓는다. 특히, 세정이 완료한 기판의 CVD 장치실(2)로의 반송시에는, 로드록실(3)의 분위기를 제어할 필요가 있다. 성막 처리를 실행하는 CVD 장치실내에서는, 물론, 통상의 진공 장치와 마찬가지로, 펌프에 의해 진공 또는 감압으로 되어 있다.
다음에, 각 유닛(장치)에 대해 설명한다. 도 2를 참조하면, 기판(11)의 사이즈에 맞춘 UV 조사 유닛(21)은 150W 정도의 저 출력형의 UV 램프(22)가 0.2개/cm의 밀도로 구비되어 있다. 또한, 스테이지를 가동 구조로 하여, 램프 피치의 절반의 진폭에서, 기판(11)을 요동하여 UV 조사가 균일하게 행해지도록 한다. 이 UV 램프 대신에, 파장 172㎚의 엑시머 UV 램프 등을 이용하는 것에 의해, 유기물의 제거 효율을 높이는 것도 가능하다. 도 3을 참조하면, 여기에 구비되는 세정 처리 장치는 액정 표시 장치의 제조에 일반적으로 이용되는 인 라인(in line) 사진 제판 장치의 세정 유닛이나 현상 유닛과 동등한 성능의 것이어도 좋다. 이 세정 유닛(9)은 기판의 회전 기구, 아암에 의한 브러쉬 세정 기구(12), 메가소닉 세정 기구 등의 물리 세정과, 약액이나 린스액을 방출할 수 있는 노즐에 의한 화학 세정이 모두 가능한 아암 기구(13)를 구비한다. 세정에 사용하는 약액에 따라서, 기판 지지 스테이지대나 약액의 비산 방지의 컵의 재질을 내약액성으로 하는 것이 바람직하다. 여기서는, 약액으로서 불산계가 사용되도록, 테플론을 코팅한 스테인레스를 이용하는 것이 좋다. 물리 세정 기구와 약액의 조합에 문제가 발생하는 경우에는, 스핀식의 물리 세정 유닛을 별도로 마련하더라도 좋다. 그 경우에는, 산화성의 채액 등을 이용하는 것도 가능하다.
다음에 CVD 장치의 예를 나타낸다. 이러한 CVD 장치는 어떠한 종류의 CVD 장치에 있어서도, 일반적인 액정 표시 장치의 제조에 이용되는 CVD 장치와 마찬가지의 것이어도 좋다. 도 4를 참조하면, 이 LPCVD 장치(30)는 챔버내에 기판을 지지하는 석영 보드(23), 펌프(도시하지 않음) 등에 의한 감압이 가능한 배기 기구, 및 성막 가스를 도입하는 매스 플로우 콘트롤러(MFC)(32)나 밸브(31)를 구비한 배관 계통을 갖고 있다. 또한, 도 5에 도시하는 바와 같이 플라즈마 CVD(PCVD) 장치에서는, 플라즈마 발생 기구가 부착된 성막 처리실(39)에는 성막 가스를 도입하는 MFC(32)나 밸브(31) 등을 구비한 배관이 접속되어 있다. 또한, 기판(11)의 예비 가열이나 냉각, 또한 성막 처리실과 저압 상태에서 기판의 교환이 가능하도록, 로드록실(36)과, 반송 로봇(38)을 설치한 예비실(37)의 2실을 구비하고 있다. 또한, 성막 처리실(39)은 각각 독립적으로, 펌프에 의해 저압으로 된 배기 기구를 갖고 있다. 이 CVD 장치에 덧붙여, 동일한 분위기내에 비정질 실리콘으로부터 다결정체 실리콘으로 결정화하는 레이저 어닐링실을 배치하더라도 좋다. 레이저 어닐링 장치로서는, 300℃ 정도의 저온에서 다결정체 실리콘을 생성시키는 일반적인 장치이어도 좋다.
상기 장치를 이용하여 얻어지는 작용은 다음과 같다. 세정 처리로부터 성막처리, 또한 레이저 어닐링 처리에 이르는 공정을 외부 분위기에 접촉하지 않고, 자동적으로 연속 처리할 수 있다. 이 결과, 기판으로의 화학 오염이나 입자 부착을 저감할 수 있다. 또한, 이러한 완화된 밀폐 구조에 분위기를 제어한 가스를 도입하는 것에 의해, 오염 부착을 더 저감하는 것이 가능하다. 사용하는 가스로서는 질소 가스 또는 건조한 공기와 같은 안전하고 비교적 저렴한 가스이어도 좋고, 화학적 필터를 통해서 오염 물질을 제거한 것을 도입하는 것이 바람직하다. 각 실 모두 완화된 밀폐 구조를 채용하고 있기 때문에, 액정 표시 장치용의 대형 유리 기판을 처리하는 경우, 완전 밀폐 구조로 하는 경우에 비해 장치 비용의 큰 저감을 도모할 수 있다. 또한, 본 장치는 성막 처리 장치에 LPCVD 장치를 이용한 경우에, 특히 효과가 높아진다. 그 이유는, LPCVD법에 의해 성막한 비정질 실리콘을 결정화하여 다결정체 실리콘을 형성하는 경우에, LPCVD에 의한 성막 속도가 특히 느리고, 하지의 오염 영향이 크게 나타나기 때문이다. 이 오염의 영향에 의해, 다결정체 실리콘의 결정성이 변동하여 쉽고, 이 결과, 완성된 TFT의 특성은 오염의 영향을 강하게 받는다. 본 실시예의 장치를 이용하면, 상기의 변동을 억제하여 안정한 특성의 TFT를 만들어 넣는 것이 가능해진다.
또한 장치 구성으로서, 반송 로봇을 중심으로 각 유닛을 배치한 것에 의해, 장치가 대단히 조밀하게 되어, 장치의 공간 절약이 가능해진다. 또한, 본 실시예에서는, UV 조사 유닛과 다른 습식 세정 유닛 모두를 이용했기 때문에, 유기물 및 금속의 오염을 확실하게 방지할 수 있다. 또한, 산화성의 처리액을 이용하면, 유기물의 제거 효과가 더 향상한다. 그러나, 특히 오염을 유기물로 한정하기 좋은경우에는, 세정 유닛으로서 UV 조사 유닛만으로도 좋다. 이 경우에는, 장치의 크기는 종래의 성막 장치의 반송 로봇과 성막실 사이에 UV 조사 유닛을 삽입한 것만으로 매우 조밀한 장치로 할 수 있어, 공간 절약의 효과를 높일 수 있다.
(실시예 2)
도 6을 참조하면, 세정 장치는 액정 표시 장치의 제조에 이용된 종래의 세정 장치이어도 좋고, UV 조사 유닛(51), 브러쉬 세정이나 메가소닉 세정 등의 물리 세정 유닛(52), 약액에 의한 화학 세정 유닛(53), 수세 유닛(54), 건조 유닛(55)을 구비하고 있다. 성막 처리실(2)에는, 실시예 1에서 설명한 것과 동등한 성능의 CVD 장치가 구비된다. 세정 처리실(44)의 언로더(43)에는, 밀폐 가능한 고무 패킹이 부착된 도어를 구비한 카세트(18)내에 기판(11)을 넣어, 카세트의 도어를 닫는 것에 의해 카세트내에 기판을 밀봉 봉입하는 기구(도시하지 않음)가 구비되어 있다. 또한, 성막 처리실(2)의 로더(46)에 있어서는, 밀폐 카세트의 도어를 여는 기구(도시하지 않음)가 구비되어 있다. 이 밀폐 카세트(18)는 세정 처리실로부터 성막 처리실까지, AGV 등에 의해 반송하더라도 좋고, 세정 처리실로부터 성막 처리실까지 콘베이어로 자동 반송하더라도 좋다.
또한 세정 처리실의 언로더(45)와 성막 처리실의 로더(46)는, 입구와 출구 양쪽에 개폐가 자유로운 도어(8)를 구비하고, 도어를 닫은 상태에서 대강 밀폐 구조로 이루어지는 완화된 밀폐 구조를 갖는다. 또한, 이러한 언로더(45) 및 로더(46)에는, 화학적 필터(7)를 거친 질소 가스나 건조한 공기를 유도하여, 유량제어에 의해 장치 외부에 대해 양압을 유지하고, 장치 외부의 분위기가 혼입하지 않는 구조로 되어 있다.
밀폐 카세트내의 분위기로서는, 세정 처리실의 언로더(45)의 분위기인 건조한 공기를 그대로 봉입하더라도 좋다. 또는, 언로더(45)의 분위기와는 별도로 오염 물질을 제거한 질소 가스 또는 건조한 공기를 직접 카세트 안으로 보내어 봉입하더라도 좋고, 이 방법이 카세트내의 분위기의 관리를 확실하게 실시할 수 있다. 또한, 밀폐 후에 카세트내를 1O3Pa 이하 정도로 진공화해도, 분위기의 오염 물질을 1/100 정도로 저감할 수 있기 때문에, 기판에 부착되는 오염 물질을 충분히 낮게 하는 것이 가능하다.
별도의 방법으로서, 도 7에 도시하는 바와 같이 세정실의 언로더(45)와 성막 처리실의 로더(46) 사이를 대강 밀폐 구조의 통로(48)로 하여, 이 완화된 밀폐 구조의 통로(48)에 대해 분위기 제어를 행하도록 하더라도 좋다. 이 구성에 의하면, 상기의 밀폐 카세트(18)에 있어서의 밀폐 기구 및 밀폐 카세트에 대한 개폐 기구를 생략할 수 있다. 이 경우에도, 실시예 1에 있어서 설명한 바와 같이, 액정 표시 장치용의 대형 유리 기판의 처리에 있어서, 완전히 밀폐하는 구조에 비해 장치 비용을 크게 저감할 수 있다.
또, 실시예 1 및 2 모두, 성막 장치로서 CVD 장치를 이용하는 경우를 설명했지만, 세정 후의 입자 부착이 양품율 저하에 수반되는 스퍼터링 장치에 관해서도, 본 발명을 적용할 수 있는 것은 말할 필요도 없다.
(실시예 3)
다음에 실시예 1 또는 2에 나타내는 제조 장치에 레이저 어닐링실을 부가한 본 발명에 따른 장치를 이용하여, 액정 표시 장치의 구동 회로부의 다결정체 실리콘 TFT을 제조하는 방법을 설명한다. 우선, 도 8에 도시하는 바와 같이 유리 기판(11)의 표면에, 예컨대, PECVD에 의해서 하지막으로서 실리콘 질화막과 실리콘 산화막의 2층막(62)을 연속 형성한다. 다음에, 상기의 세정·성막·어닐링 일체형의 장치를 이용하여, 세정 처리와 LPCVD 장치에 의한 성막 처리와 레이저 어닐링 처리를 연속하여 실행한다. 세정 처리로서는, UV 조사 처리, 브러쉬 세정 및 메가소닉 세정을 행하고, 그 후, 오존수 또는 HF계 세정액을 이용하여 약액 세정을 행한다. 이 후, 기판을 외부 분위기에 접촉하지 않도록 하여 성막 처리실에 반송하여 성막 처리를 실행한다. 성막 처리로서는, 비정질 실리콘막을 도 4에 나타낸 LPCVD 장치에 의해서 60㎚ 정도 형성한다. 또한, 외부 분위기에 노출시키지 않고, 상기 장치에 부가하여 마련한 레이저 어닐링 장치에 의해서 트랜지스터 채널부의 비정질 실리콘을 어닐링하여 다결정체 실리콘으로 한 후, 도 8에 도시하는 바와 같이 건식 에칭에 의해 패터닝하여 트랜지스터 영역(64)을 형성한다. 다음에, 도 9에 도시하는 바와 같이 게이트 절연막으로서, 예컨대, TEOS(Tetra Ethyl 0rtho Silicate) PECVD에 의해 실리콘 산화막(65)을 70㎚ 정도 형성한다. 이 후, 레지스트를 마련하여 n형 트랜지스터(76)의 채널 영역(69)의 양단에 인 이온을 저농도로 주입하여 n-형 불순물 영역의 LDD(Lightly Doped Domain)(75)를 형성한다. 다음에, 예컨대, Cr 막을 성막하고, 패터닝하여 게이트 전극(66)을 형성한다. 그 후,인 이온, 붕소 이온을 순서대로 주입하여 소스/드레인 영역으로 되는 n+형 불순물 영역(67) 및 p형 불순물 영역을 형성하고, 각각 n형 트랜지스터(76) 및 p형 트랜지스터(77)를 만들어 넣는다. 인 이온 및 붕소 이온의 주입시에는, n형 트랜지스터에는 인 이온이, 또한 p형 트랜지스터에는 붕소 이온이 주입되도록 한다.
다음에, 도 1O에 도시하는 바와 같이 보호막으로서 TEOS PECVD에 의해 실리콘 산화막(71)을 형성한 후, 400℃에서 활성화 어닐링을 실행한다. 보호막에 콘택트 홀을 건식 에칭에 의해 개구하고, Cr막을 100㎚, 또한 A1계 합금막을 400㎚, 또한 Cr막을 100㎚ 연속하여 스퍼터에 의해 적층하고, 패터닝하여 소스/드레인 전극(72)을 형성한다. 이 후, 수소 플라즈마 중에서 채널부를 대상으로 다결정체 실리콘의 수소화 처리를 행하여, 특성의 향상이나 안정화를 한 후에, 실리콘 질화막 등에 의해 절연막(74)을 형성한다. 이러한 프로세스로 제작한 n형 트랜지스터(76) 및 p형 트랜지스터(77)를 조합하여, 구동 회로에 구비되는 CM0S 회로를 구성한다.
상기의 구동 회로 영역의 제작 중에, 동일한 유리 기판에 제작되는 표시 화소 영역에 관해서도 동일한 종류의 층은 구동 회로 영역과 동일한 타이밍에서 성막 처리가 행해진다. 도 11에 도시하는 바와 같이 표시 화소 영역에 형성되는 소자 부분은, 화소용의 2개의 n형 트랜지스터로 이루어지는 화소 트랜지스터(89), 및 상부 전극(86), 하부 전극(85)을 갖는 용량(88)이 형성되는 점을 제외하고, 구동 회로 영역의 소자 부분으로 변하는 곳은 없다. 표시 화소 영역에 있어서의 성막 수단은 구동 회로 영역의 성막과 병렬로 일체적으로 진행한다. 하부 유리 기판측에서, 절연막(74)이 형성된 후, 표시 화소 영역에서는 화소 전극(87)이 콘택트 홀을 거쳐서 표시 화상 영역의 n형 트랜지스터에 접속되고, 또한 그 위에 배향막(84)이 형성된다. 표시 화소 영역의 상부 유리 기판(81)에는, 컬러 필터(82), 대향 전극(83)이 적층되고, 그 위에 배향막(84)이 형성된다. 이 후, 상기 처리가 행해진 상부 및 하부의 유리 기판을 갭 간격을 유지하여 점합하고, 그 갭에 액정(78)을 주입함으로써 액정 표시 장치를 완성한다(도 11 참조).
상기의 방법으로 제조된 액정 표시 장치에서는, 채널부의 다결정체 실리콘의 상층 및 하층으로부터의 유기물이나 금속에 의한 오염을 방지할 수 있다. 이 때문에, 레이저 어닐링 후에 다결정체 실리콘 중으로 금속 불순물이 혼입하는 것에 의한 임계값 전압 Vth의 변동이나, 하지의 불순물의 영향에 의해서 결정화시의 결정 성장이 변동하는 등에 기인하는 TFT의 특성 열화가 발생하지 않게 되었다. 또한, 각 성막 전의 입자의 재부착도 저감할 수 있었다. 이 결과, TFT의 임계값 전압의 편차, 용량 절연막의 내압과도 문제가 없고, 제품의 양품율, 내구성, 신뢰성 등의 모든 면에서의 향상이 가능하게 되었다. 본 실시예에서는, 특히 특성면에서의 효과가 높은 채널부 실리콘의 성막 공정 및 레이저 어닐링 공정에서, 실시예 1에 있어서의 장치에 레이저 어닐링 장치를 부가한 장치를 도입하였다. 그러나, 세정 후의 입자 재부착의 저감 효과가 있기 때문에, 그 밖의 스퍼터 성막 등에 응용함으로써 스퍼터 성막에 있어서의 양품율을 향상하는 것이 가능해진다.
(실시예 4)
실시예 3에 나타낸 액정 표시 장치에 대해, 실시예 2에 있어서의 장치를 이용하는 것에 의해, 약액조 등으로 기판을 세정 처리할 수 있기 때문에, 강산성의 약액이나 고온의 약액을 온도 제어하여 세정할 수 있다. 예컨대, 반도체 장치의 제조에 자주 사용되는 RCA 세정액, 즉, H2SO4+ H2O2+ H2O로 이루어지는 세정액이나, NH3+ H2O2+ H2O, HC1 + H2O2+ H2O, HF + H2O 등의 세정액을 이용할 수 있다. 이들 세정액은 제거 대상물이나 유리 기판 표면으로의 손상 상태 등에 따라 적절하게 조합하여, 각각의 처리를 상온∼100℃ 정도까지의 적당한 온도로 실행한다. 이들 세정 처리에 의해서, 오염 물질을 제거하고, 그 후, 오염 물질을 부착하지 않고 성막 및 어닐링을 하는 것이 가능해진다. 상기의 방법에 의해서 형성된 액정 표시 장치에서는, 채널부의 상층 및 하층에 있어서 유기물이나 금속에 의한 오염이 없고, 레이저 어닐링 후의 다결정체 실리콘중의 금속 불순물에 의한 Vth의 변동이나 결정화에 있어서의 결정성으로의 영향이 없기 때문에, TFT의 특성 열화가 발생하지않게 된다. 또한, 각 성막 전의 입자의 재부착도 저감할 수 있다. 이 결과, TFT의 임계값 전압 Vth의 편차, 용량 절연막의 내압과도 문제가 없어져, 제품의 양품율, 내구성, 신뢰성의 모든 면에서의 향상을 얻을 수 있다.
상기에 있어서, 본 발명의 실시예에 대해 설명하였지만, 상기에 개시된 본 발명의 실시예는, 어디까지나 예시일 뿐이며, 본 발명의 범위는 이들 발명의 실시예에 한정되지 않는다. 본 발명의 범위는 특허 청구 범위의 기재에 의해서 나타내어지고, 또한 특허 청구 범위의 기재와 균등한 의미 및 범위내에서의 모든 변경을 포함한다.
본 발명의 액정 표시 장치의 제조 장치를 이용하는 것에 의해, 기판을 세정한 후, 화학적 오염이나 입자 등의 재부착을 받지 않고 액정 표시 장치를 제조할 수 있다. 이 결과, 임계값 전압의 변동 등에 기인하는 양품율 저하를 발생하는 일이 없게 된다. 또한, 로드록실을 중심으로 세정 처리실 및 성막 처리실을 배치하는 것에 의해, 본 발명의 장치는 대단히 조밀하게 되어, 액정 표시 장치의 제조 현장의 공간 절약에 기여하는 것이 기대된다.

Claims (2)

  1. 액정 표시 장치의 기판(11)을 세정하는 세정 처리실(1a, 1b, 44)과,
    상기 세정 처리실에서 세정된 상기 기판에 대해 성막 처리를 실행하는 성막 처리실(2)과,
    상기 세정 처리실로부터 상기 성막 처리실로 상기 기판을 외부 분위기에 노출시키지 않고 이송하는 외부 분위기 차단 이송 수단(3, 4, 18, 48)을 구비하는
    액정 표시 장치의 제조 장치.
  2. 유리 기판(11) 위에 하지막(62)을 갖는 기판을 세정 처리실(1a, 1b, 44)에 장입하여, 세정 처리를 실행하는 공정과,
    상기 세정이 행해진 기판을 상기 세정 처리실로부터 취출하여, 외부 분위기에 노출시키지 않고 성막 처리실(2)에 장입하는 공정과,
    상기 성막 처리실에서 상기 기판 위에 비정질 실리콘막을 성막하는 공정을 구비하는
    액정 표시 장치의 제조 방법.
KR10-2001-0003540A 2000-01-26 2001-01-22 액정 표시 장치의 제조 장치 및 액정 표시 장치의 제조 방법 KR100381807B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000017223A JP3998386B2 (ja) 2000-01-26 2000-01-26 液晶表示装置の製造装置および液晶表示装置の製造方法
JP2000-017223 2000-01-26

Publications (2)

Publication Number Publication Date
KR20010078048A true KR20010078048A (ko) 2001-08-20
KR100381807B1 KR100381807B1 (ko) 2003-04-26

Family

ID=18544249

Family Applications (1)

Application Number Title Priority Date Filing Date
KR10-2001-0003540A KR100381807B1 (ko) 2000-01-26 2001-01-22 액정 표시 장치의 제조 장치 및 액정 표시 장치의 제조 방법

Country Status (4)

Country Link
US (1) US6461437B1 (ko)
JP (1) JP3998386B2 (ko)
KR (1) KR100381807B1 (ko)
CN (1) CN1196173C (ko)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI270626B (en) * 2002-04-23 2007-01-11 Display Mfg Service Co Ltd Wet processing bath and fluid supplying system for liquid crystal display manufacturing equipment
JP4219799B2 (ja) * 2003-02-26 2009-02-04 大日本スクリーン製造株式会社 基板処理装置
JP4833512B2 (ja) * 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
DE10358275A1 (de) * 2003-12-11 2005-07-21 Wiessner Gmbh Vorrichtung und Verfahren zum Reinigen wenigstens einer Prozesskammer zum Beschichten wenigstens eines Substrats
US7422653B2 (en) * 2004-07-13 2008-09-09 Applied Materials, Inc. Single-sided inflatable vertical slit valve
US8075953B2 (en) * 2005-09-15 2011-12-13 Hiap L. Ong and Kyoritsu Optronics Co., Ltd Thin organic alignment layers with a batch process for liquid crystal displays
JP4765986B2 (ja) * 2007-03-23 2011-09-07 村田機械株式会社 搬送システム
JP5190215B2 (ja) * 2007-03-30 2013-04-24 東京エレクトロン株式会社 ターボ分子ポンプの洗浄方法
KR20130041089A (ko) * 2010-06-21 2013-04-24 가부시키가이샤 알박 기판 반전 장치, 진공 성막 장치 및 기판 반전 방법
US8742532B2 (en) * 2010-12-13 2014-06-03 Tp Solar, Inc. Dopant applicator system and method of applying vaporized doping compositions to PV solar wafers
JP5472283B2 (ja) * 2011-12-21 2014-04-16 株式会社安川電機 ロボットのアーム構造およびロボット
US9443730B2 (en) * 2014-07-18 2016-09-13 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US9837271B2 (en) 2014-07-18 2017-12-05 Asm Ip Holding B.V. Process for forming silicon-filled openings with a reduced occurrence of voids
US10460932B2 (en) 2017-03-31 2019-10-29 Asm Ip Holding B.V. Semiconductor device with amorphous silicon filled gaps and methods for forming
CN109712906B (zh) * 2017-10-25 2021-05-11 长鑫存储技术有限公司 具有清洗功能的晶圆存储装置及半导体生产设备
CN118086860A (zh) * 2024-04-29 2024-05-28 成都晨发泰达航空科技股份有限公司 一种转子叶片化学气相沉积铝涂层装置及方法

Family Cites Families (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4406709A (en) * 1981-06-24 1983-09-27 Bell Telephone Laboratories, Incorporated Method of increasing the grain size of polycrystalline materials by directed energy-beams
NL8103979A (nl) * 1981-08-26 1983-03-16 Bok Edward Methode en inrichting voor het aanbrengen van een film vloeibaar medium op een substraat.
US4827954A (en) * 1982-11-23 1989-05-09 Interlab, Inc. Automated work transfer system having an articulated arm
JPS6162739A (ja) * 1984-09-03 1986-03-31 Sanki Eng Co Ltd クリ−ントンネル
JPH0736418B2 (ja) * 1986-05-19 1995-04-19 富士通株式会社 ウエーハキャリア
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US5024570A (en) * 1988-09-14 1991-06-18 Fujitsu Limited Continuous semiconductor substrate processing system
JPH05218176A (ja) * 1992-02-07 1993-08-27 Tokyo Electron Tohoku Kk 熱処理方法及び被処理体の移載方法
US6391117B2 (en) * 1992-02-07 2002-05-21 Canon Kabushiki Kaisha Method of washing substrate with UV radiation and ultrasonic cleaning
JPH05271973A (ja) * 1992-03-25 1993-10-19 Orc Mfg Co Ltd 紫外線洗浄方法
JPH05315310A (ja) * 1992-05-01 1993-11-26 Kawasaki Steel Corp 半導体基板の湿式洗浄方法及び湿式洗浄装置
SG46344A1 (en) * 1992-11-16 1998-02-20 Tokyo Electron Ltd Method and apparatus for manufacturing a liquid crystal display substrate and apparatus and method for evaluating semiconductor crystals
EP0608620B1 (en) * 1993-01-28 1996-08-14 Applied Materials, Inc. Vacuum Processing apparatus having improved throughput
JP3120395B2 (ja) * 1993-03-10 2000-12-25 東京エレクトロン株式会社 処理装置
US5474410A (en) * 1993-03-14 1995-12-12 Tel-Varian Limited Multi-chamber system provided with carrier units
US5527390A (en) * 1993-03-19 1996-06-18 Tokyo Electron Kabushiki Treatment system including a plurality of treatment apparatus
JP3654597B2 (ja) * 1993-07-15 2005-06-02 株式会社ルネサステクノロジ 製造システムおよび製造方法
TW273574B (ko) * 1993-12-10 1996-04-01 Tokyo Electron Co Ltd
US5472086A (en) * 1994-03-11 1995-12-05 Holliday; James E. Enclosed sealable purgible semiconductor wafer holder
TW294821B (ko) * 1994-09-09 1997-01-01 Tokyo Electron Co Ltd
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
US5667592A (en) * 1996-04-16 1997-09-16 Gasonics International Process chamber sleeve with ring seals for isolating individual process modules in a common cluster
US6039059A (en) * 1996-09-30 2000-03-21 Verteq, Inc. Wafer cleaning system
JP3330300B2 (ja) * 1997-02-28 2002-09-30 東京エレクトロン株式会社 基板洗浄装置
US6045620A (en) * 1997-07-11 2000-04-04 Applied Materials, Inc. Two-piece slit valve insert for vacuum processing system
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
JPH11102849A (ja) * 1997-09-17 1999-04-13 Lsi Logic Corp 半導体ウエハ上のパーティクル除去方法及び装置
US6270582B1 (en) * 1997-12-15 2001-08-07 Applied Materials, Inc Single wafer load lock chamber for pre-processing and post-processing wafers in a vacuum processing system
TW444275B (en) * 1998-01-13 2001-07-01 Toshiba Corp Processing device, laser annealing device, laser annealing method, manufacturing device and substrate manufacturing device for panel display
US6270306B1 (en) * 1998-01-14 2001-08-07 Applied Materials, Inc. Wafer aligner in center of front end frame of vacuum system
US6343609B1 (en) * 1998-08-13 2002-02-05 International Business Machines Corporation Cleaning with liquified gas and megasonics
US6337174B1 (en) * 1998-09-17 2002-01-08 Samsung Electronics Co., Ltd. Method of stripping a photoresist from a semiconductor substrate dimethylacetamide or a combination of monoethanolamine and dimethylsulfoxide

Also Published As

Publication number Publication date
KR100381807B1 (ko) 2003-04-26
CN1196173C (zh) 2005-04-06
US6461437B1 (en) 2002-10-08
JP3998386B2 (ja) 2007-10-24
CN1307326A (zh) 2001-08-08
JP2001210693A (ja) 2001-08-03

Similar Documents

Publication Publication Date Title
KR100381807B1 (ko) 액정 표시 장치의 제조 장치 및 액정 표시 장치의 제조 방법
US20060261341A1 (en) Semiconductor device and manufacturing method of the same
US20060105114A1 (en) Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US6467976B2 (en) Coating and developing system
JPH01319944A (ja) 半導体基板表面に薄膜を形成する方法およびその装置
JP5142414B2 (ja) 真空処理装置
US20010012667A1 (en) Clustered system and method for formation of integrated circuit devices
US7011996B2 (en) Method of manufacturing thin film transistor
TWI434337B (zh) 旋轉式處理裝置,處理系統及旋轉式處理方法
US20060240647A1 (en) Film control method and device thereof
KR100512683B1 (ko) 절연막 제조장치
JP2001085701A (ja) 多層構造を有する素子、その素子の製造装置、及びその素子の製造方法
JP4001906B2 (ja) 半導体装置の作製方法
US6860964B2 (en) Etch/strip apparatus integrated with cleaning equipment
JPH11354514A (ja) クラスターツール装置及び成膜方法
JP2007188953A (ja) 多結晶シリコン層の製造方法
JP4869495B2 (ja) 半導体装置の製造方法
JP2000243802A (ja) 半導体装置の製造方法及び装置
KR100221352B1 (ko) 다결정 실리콘의 형성방법 및 형성장치
KR20040028578A (ko) 기판처리장치 및 기판처리방법
JP2000021891A (ja) 基板処理装置およびその方法
KR100293524B1 (ko) 비진공 공정을 이용한 결정화장치 및 방법
JP2004356598A (ja) 基板処理方法及び電気光学装置の製造方法
JP2006332589A (ja) 膜制御方法およびその装置
JP2004125882A (ja) 基板洗浄方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20100413

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee