KR20010072092A - 기판을 프로세싱하기 위한 장치 및 방법에 알맞은 세라믹복합물 - Google Patents

기판을 프로세싱하기 위한 장치 및 방법에 알맞은 세라믹복합물 Download PDF

Info

Publication number
KR20010072092A
KR20010072092A KR1020017001225A KR20017001225A KR20010072092A KR 20010072092 A KR20010072092 A KR 20010072092A KR 1020017001225 A KR1020017001225 A KR 1020017001225A KR 20017001225 A KR20017001225 A KR 20017001225A KR 20010072092 A KR20010072092 A KR 20010072092A
Authority
KR
South Korea
Prior art keywords
oxide
group
ceramic
metal
ceramic compound
Prior art date
Application number
KR1020017001225A
Other languages
English (en)
Other versions
KR100729001B1 (ko
Inventor
니안시 한
홍 시
지에 유안
대니 루
다이아나 마
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010072092A publication Critical patent/KR20010072092A/ko
Application granted granted Critical
Publication of KR100729001B1 publication Critical patent/KR100729001B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판이 프로세스 가스의 프라즈마에서 처리되는 반응챔버의 프로세스 키트 및 유전창에 대한 세라믹 복합물이 개시된다. 세라믹 복합물은 세라믹 화합물(예를 들어, Al2O3)과 3B족 금속의 산화물(Y2O3)을 포함한다. 프로세스 가스의 플라즈마를 포함하는 챔버의 기판을 처리(예를 들면, 에칭)하는 방법이 개시된다. 상기 방법은 세라믹 복합물로 형성되는 유전창을 통하여 프로세싱 파우더가 통과하는 단계를 포함한다.

Description

기판을 프로세싱하기 위한 장치 및 방법에 알맞은 세라믹 복합물{A CERAMIC COMPOSITION FOR AN APPARATUS AND METHOD FOR PROCESSING A SUBSTRATE}
반도체 산업은 열화학 기상 증착(CVD), 플라즈마-여기 화학기상증착(PECVD), 플라즈마-보조 에칭 및 스퍼터링에 의한 증착 형상 변형과 같은 다양한 상이한 프로세스에 적합하게 사용될 수 있는 높은 생산량의 단일 기판 프로세싱 반응기에 의존한다. 몇몇 프로세싱 반응기는 웨이퍼 기판을 프로세싱하기 위한 반응챔버 내에서 프로세싱 가스로부터 플라즈마를 지속시키는 데 조력하는 프로세싱 파워가 관통하는 유전부재(예를 들어, 유전창)을 갖는 프로세싱 반응챔버를 포함한다.
프로세스 키트는 종종 반응챔버 내에서 웨이퍼 가판을 프로세싱하기 위한 조력체로서 작용한다. 프로세스 키트는 프로세싱에 적합한 일반적으로 안정위치에서 웨이퍼 기판을 보유하기 위한 페데스탈 조립체(pedestal assembly)에 의해 지지되는 포획링(capture ring)을 포함한다. 프로세스 키트는 또한 동일한 프로세싱을 최적화시키도록 웨이퍼 기판 위의 플라즈마 프로세싱 가스를 한정하는 데 조력하기 위해 조립 시에 상기 포획링에 의해 지지되는 포커스 링(focus ring)을 포함한다.
유전부재(예를 들어, 유전창) 및 프로세스 키트는 99.5 중량%를 포함하는 높은 등가의 알루미나 세라믹(high parity alumina ceramic) 또는 높은 알루미늄 산화물(Al2O3)와 같은 세라믹 재료로 제작된다. 고밀도 할로겐-함유 플라즈마가 웨이퍼 기판의 에칭동안 알루미나 유전부재 및 알루미나 프로세스 키트에 접촉할 때, 알루미나의 침식이 발생하여, 패턴화된 반도체 기판을 손상시킬 수 있는 대형 입자 및 오염 화합물의 형성을 야기시킨다.
그러므로, 요구되고 발명된 것은 유전부재 및 프로세스 키트가 제작될 수 있는 재질의 개선된 세라믹 복합물이다. 더 요구되고 더욱 발명된 것은 식각가스의 고밀도 플라즈마에서 반도체 웨이퍼의 에칭동안 침식에 대한 높은 내성을 갖는 유전부재(예를 들어, 유전창) 및 프로세스 키트이다.
본 발명은 프로세싱 가스의 플라즈마를 포함하는 챔버에서 기판을 프로세싱(예를 들어, 에칭, 화학 또는 물리 기상증착 등)의 장치 및 방법에 적합한 물체의 세라믹 복합물에 관한 것이다. 더 상세하게는, 본 발명은 프로세싱 가스의 고밀도 플라즈마를 포함하는 반응챔버에서 반도체 기판을 에칭하기 위한 프로세싱 파워가 통과하는 프로세스 키트(process kit) 및 유전창(dielectric window)을 제작하도록 사용될 수 있는 물체의 세라믹 복합물에 관한 것이다. 물체의 세라믹 복합물은 반도체 기판의 에칭 동안 고내식성을 구비하여 침식성 재질이 반응챔버 내부에서 형성하는 것을 방지한다.
도 1은 내부에 배치된 페데스탈 조립체를 갖고, 본 발명의 세라믹 복합물로 이루어지고 그 위에 반도체 기판을 보유하기 위해 상기 페데스탈 조립체에 결합된 웨이퍼-포획링과, 본 발명의 세라믹 복합물로 이루어지고 웨이퍼 기판 위에 프로세싱 가스의 플라즈마를 집중시키는 데 조력하기 위해 상기 페데스탈 조립체에 의해 지지될 수 있는 포커스 링을 포함하는 프로세스 챔버의 부분 측면도이다.
도 2는 본 발명의 세라믹 복합물로 이루어진 포커스 링의 평면도이다.
도 3은 도 2에서 포커스 링의 저면도이다.
도 4는 도 2의 선 4-4의 평면을 따라 화살표의 방향으로 취해진 단면도이다.
도 5는 본 발명의 세라믹 복합물로 이루어진 웨이퍼-포획링의 평면도이다.
도 6은 도 5에서 웨이퍼-포획링의 저면도이다.
도 7은 도 5의 선 7-7의 평면을 따라 화살표의 방향으로 취해진 단면도이다.
도 8은 본 발명의 세라믹 복합물로 이루어진 돔형 유전 천장을 갖는 유도성결합 RF 플라즈마 반응기의 일부 절취도이다.
본 발명의 목적은 기판을 프로세싱(예를 들어, 에칭, 화학적 또는 물리적 기상증착 등)에 적합한 플라즈마 반응기(예를 들어, 유도성 결합 RF 플라즈마 반응기; inductively coupled RF plasma reactor)를 제공함으로써 달성된다. 플라즈마 반응기는 챔버벽을 갖는 반응챔버와 상기 챔버벽에 의해 지지되는 유전부재(예를 들어, 유전창)을 포함한다. 상기 유전부재는 세라믹 화합물과 멘델레프 주기율표의 3B족 금속의 산화물로 이루어진 세라믹 복합물을 포함한다. 페데스탈 조립체는 반응챔버에서 기판을 지지하기 위해 반응챔버 내에 배치된다. 프로세싱 가스-유도 조립체는 반응챔버로 프로세싱 가스를 도입하기 위해 반응챔버에 결합된다. 플라즈마 반응기는 상기 반응챔버에 근접하여 배치되고 상기 반응챔버 내에서 프로세싱 가스로부터 플라즈마를 지속시키는 것을 조력하도록 상기 반응챔버로 파워를 전송하기 위한 프로세싱 파워소스에 연결된 프로세싱 파워-전송부재를 더 포함한다. 플라즈마 반응기가 유도성 결합 RF 플라즈마 반응기이면, 상기 페데스탈 조립체는 바이어스 RF 소스에 체결되고, 상기 프로세싱 파워-전송부재는 유도성 결합 RF 파워소스에 연결된 코일 유도체이다.
프로세스 키트는 기판의 프로세싱을 조력하기 위해 반응챔버에 배치될 수 있다. 상기 프로세스 키트는 페데스탈 조립체에 의해 지지되고, 포획링 부재와 포커스 링 부재를 포함하고, 이들 모두는 세라믹 화합물과 3B족 금속의 산화물로 이루어진 세라믹 복합물을 포함한다.
본 발명의 세라믹 복합물의 세라믹 화합물은 실리콘 탄화물, 실리콘 질화물, 붕소 탄화물, 붕소 질화물, 알루미늄 질화물, 알루미늄 산화물 및 이들의 혼합물로 이루어진 그룹으로부터 바람직하게 선택된다. 더 바람직하게는, 상기 세라믹 화합물은 알루미늄 산화물이고, 이것은 특히 상대적으로 저렴하고 용이하게 입수할 수있기 때문이다. 알루미늄 산화물은 "기체제거"(out-gas)가 아니거나 프로세스 작업동안 기판 상에 스퍼터될 수 있는 오염물을 포함하지 않도록 충분히 순수하여야 하고, 응시된 특정 에칭 프로세스에 노출될 때 화학적으로 안정되어야 한다. 세라믹 화합물을 형성하도록 사용될 수 있는 다른 재료는, 예시적이지만 이에 한정되지 않는 알루미늄, 망간 및 탄탈륨의 산화물 및 불화물 형태를 포함한다. 알루미늄 산화물 또는 다른 금속-산화물 세라믹은 단결정 산화물, 다결정 산화물 또는 비정질 산화물일 수 있다.
본 발명의 3B족 금속 산화물을 형성하기 위한 3B족 금속은 스칸듐(scandium), 이트륨(yttrium), 셀륨 아족(subgroup) 및 이트륨 아족으로 이루어진 그룹으로부터 선택된 금속이 바람직하다. 더 바람직하게, 3B족 금속은 이트륨이므로, 3B족 금속의 산화물은 이트륨 산화물이다.
세라믹 화합물과 3B족 금속의 산화물은 적절한 비율, 바람직하게는 세라믹 복합물이 약 30 중량% 내지 약 95 중량%의 세라믹 화합물과 약 5 중량% 내지 70 중량%의 3B족 금속 산화물로 이루어지도록 결합된다. 더 바람직하게, 세라믹 복합물은 많은 비율의 세라믹 화합물과 적은 비율의 3B족 금속의 산화물로 이루어진다. 대안으로, 세라믹 복합물은 약 30 중량% 내지 95 중량%의 세라믹 화합물과 약 5 중량% 내지 70 중량%의 3B족 금속의 산화물로 이루어지고, 적은 비율의 바인더제(binder agent) 및/또는 첨가제(additive agent)을 포함한다. 세라믹 복합물로부터 유전부재(예를 들어, 유전창) 및 프로세스 키트를 형성하거나 생산하기 위한 바람직한 프로세스는 세라믹 화합물, 3B족 금속의 산화물, 적절한 첨가제 및적절한 바인더제로 이루어진 분말 미가공 혼합물으 열적으로 프로세싱하는 것이다.
본 발명의 목적은 또한 (a) 챔버벽을 갖고 적어도 하나의 기판 및 적어도 하나의 기판을 프로세싱하기 위한 플라즈마 프로세싱 가스를 포함하는 챔버를 제공하고, 상기 챔버벽에 결합되고 세라믹 화합물(예를 들어, Al2O3)과 3B족 금속의 산화물(Y2O3)을 포함하는 세라믹 복합물로 이루어진 유전부재를 포함하는 단계와, (b) 상기 적어도 하나의 기판을 상기 플라즈마 프로세싱 가스에서 프로세스하도록 프로세싱 파워를 (a) 단계의 상기 유전부재를 통해 상기 챔버로 도입하는 단계로 이루어진 플라즈마 프로세싱 가스를 포함하는 챔버에서 적어도 하나의 기판을 프로세싱하기 위한 방법을 제공함으로써 달성된다.
본 발명의 목적은 또한 (a) 금속층을 지지하는 기판을 제공하는 단계와, (b) 챔버벽과, 상기 챔버벽에 의해 지지되고 알루미나와 같은 세라믹 화합물 및 이트륨 산화물과 같은 3B족 금속의 산화물을 포함하는 세라믹 복합물로 이루어진 유전부재를 포함하는 챔버에 상기 기판을 배치시키는 단계와, (c) (b) 단계의 챔버로 프로세싱 가스를 도입하는 단계와, (d) 프로세싱 가스의 고밀도 플라즈마로 기판 상의 금속층을 식각하도록 (b) 단계의 유전부재를 통해 상기 챔버로 프로세싱 파워를 도입시키는 단계로 이루어진 기판 상의 금속층을 에칭하는 방법을 제공함으로써 달성된다.
그러므로, 본 발명의 목적은 프로세싱 기판에 적합한 플라즈마 반응기를 제공하는 것이다.
본 발명의 다른 목적은 플라즈마 프로세싱 가스를 포함하는 챔버에서 기판을 프로세싱하기 위한 방법을 제공하는 것이다.
본 발명의 또 다른 목적은 유전창 및 프로세스 키트를 제작하거나 형성하기 위해 바람직하게 사용되는 세라믹 복합물을 제공하는 것이다.
이들은 하기 설명이 이루어짐에 따라 기술분야의 숙련된 당업자에게 명백해지는 다양한 부수적인 목적 및 특징과 함께 이들 신규한 장치 및 방법들에 의해 달성되고, 바람직한 실시예는 첨부도면을 참조하여 설명된다.
본 발명의 유사한 부품은 유사한 참조번호에 의해 구분된 도면을 상세히 참조하면, 챔버벽(11)과 기판 또는 반도체 웨이퍼(13)와 같은 기판을 지지하기 위한 페데스탈 조립체(12)를 갖는 프로세스 챔버(10)가 도시되고, 기판은 프로세스 챔버(10) 내에서 프로세스 처리된다. 챔버벽(11)은 유전부재(20)를 지지한다. 프로세스 키트는 참조번호 14로서 예시된 바와 같이 도 1에 도시되고 웨이퍼 기판(13)의 프로세싱을 조력하기 위해 페데스탈 조립체(12)에 의해 지지된다. 프로세스 키트(14)는 프로세스 처리동안 웨이퍼 기판(13)을 안정적으로 유지하기 위해 페데스탈 조립체(12)에 연결된 웨이퍼-포획링(16)으로 구성된다. 또한, 프로세스 키트(14)는 웨이퍼 기판(13) 위에 농축되고 및/또는 위치된 프로세싱 가스의 고밀도 플라즈마(94)를 유지하는 데 조력하기 위한 포커스 링(18)으로 구성된다. 웨이퍼-포획링(16)과 포커스 링(18)은 각각의 링 개구(16a, 18a)를 갖는다(도 2 내지 도 7 참조).
웨이퍼 기판(13)은 프로세스 챔버(10) 내에서 집적회로(IC) 금속 상호접속 장치를 패턴화시키기 위한 플라즈마 에칭에 의함과 같이 플라즈마 프로세싱 과정에 의해 처리될 수 있다. 본 발명의 요지 내에 포함되는 다른 형태의 프로세싱 기판은 화학기상증착, 물리기상증착 등을 포함한다. 플라즈마 프로세스 동안, 프로세싱 파워(예를 들어, RF 파워, 마그네트론 파워, 마이크로웨이프 파워 등)는 세라믹돔 등과 같은 비전도성 재료의 유전창을 포함하는 유전부재(20)를 통과하고, 프로세싱 가스의 고밀도 플라즈마(94)에 결합하게 된다. 플라즈마 프로세스가 플라즈마 에칭이면, 금속(예를 들어, 백금, 구리, 알루미늄, 티타늄, 루테늄, 이리듐 등)의 금속 에칭은 기판에 의해 지지되는 동안 수행된다.
유전부재(20)와 프로세스 키트(14)는 세라믹 재료로 제작된다. 웨이퍼 기판(13)이 프로세스될 때, 세라믹 유전부재(20)와 세라믹 프로세스 키트(14)는 침식하여, 오염성 입자의 생성을 야기시킨다. 유전부재(20)와 프로세스 키트(14)의 침식은 부분적으로는 웨이퍼 기판(13)이 식각가스(etchant gas)의 고밀도 플라즈마에서 에칭에 의해 프로세스될 때, 특히 식각가스가 Cl2와 BCl3와 같은 할로겐 함유 식각가스인 때 심각해진다. 고밀도 플라즈마는 약 1010/㎤ 이상, 바람직하게는 1011/㎤ 이상의 이온 밀도를 갖는 식각가스의 플라즈마로서 정의된다. 고밀도 플라즈마의 소스는 전자 사이클로트론 공명(ECR; electron cyclotron resonance), 헬리콘 공명(helicon resonance) 또는 유도성 결합 플라즈마(ICP)-타입 소스와 같은 적절한 고밀도 소스일 수 있다.
유전부재(20)와 프로세스 키트(14)가 본 발명의 세라믹 복합물로 제작된다면, 유전부재(20)와 프로세스 키트(14)는 특히 고밀도 플라즈마에서 플라즈마 에칭에 의한 웨이퍼 기판(13)의 프로세싱 동안 실질적으로 침식하지 않는다는 것을 발견하였다. 그러므로, 유전부재(20)(즉, 유전창) 뿐만 아니라 웨이퍼-포획링(16)과 포커스 링(18)을 포함하는 프로세스 키트(14)는 본 발명의 세라믹 복합물로 구성되고, 세라믹 복합물은 세라믹 화합물과 멘델레프에 의한 주기율표로부터 그리고 게스너 지. 호레이(Gessner G. Hawley)에 의해 교정되고 반 노스트랜드 라인홀드 컴파니(Van Nostrand Reinhold Company)에 의해 10판으로 출간된 응축된 화학물 사전(The Condensed Chemical Dictionary)의 789쪽에 나타난 바와 같이 3B족 금속의 산화물을 포함한다.
세라믹 복합물용 세라믹 화합물은 전기적으로 절연성이고 그의 결정이 재료와 그 프로세싱에 따라서 비정질, 유리질, 미세결정 및 단일 결정 중에서 변하는 화합물이다. 세라믹 화합물은 바람직하게는 실질적으로 비기공성 재료이다. 이것은 양호한 전기 절연체이고, 상대적으로 순수한 형태(거의 99 중량% 이상)으로 제작될 수 있기 때문에, 플라즈망 분위기에서 화학반응의 정도가 낮다. 세라믹 화합물은 특히 프로세싱 파워가 프로세싱 가스의 고밀도 플라즈마[예를 들어, 고밀도 플라즈마(94)]에서 기판[예를 들어, 웨이퍼 기판(13)]의 에칭동안 유전부재(20)를 통과할 때 상당히 높은 내침식성 세라믹 구조를 형성하도록 3B족 금속의 산화물과 화합할 수 있는 적절한 세라믹 화합물일 수 있다. 세라믹 화합물은 실리콘 탄화물(SiC), 실리콘 질화물(Si3N4), 붕소 탄화물(B4C), 붕소 질화물(BN), 알루미늄 질화물(AlN), 알루미늄 산화물(Al2O3) 및 이들의 혼합물로 이루어진 그룹으로부터 바람직하게 선택된다. 더 바람직하게, 세라믹 화합물은 특히 알루미늄 산화물이 상대적으로 저렴하고 용이하게 입수할 수 있으므로, 알루미늄 산화물(Al2O3)로 구성된다.
알루미늄 산화물은 "기체제거"가 아니거나 프로세스 작업동안 기판 상에 스퍼터될 수 있는 오염물을 포함하지 않도록 충분히 순수하여야 하고, 응시된 특정 에칭 프로세스에 노출될 때 화학적으로 안정되어야 한다. 알루미늄 산화물이 본 발명의 바람직한 실시예에 적합한 바람직한 세라믹 화합물이지만, 본 발명의 사상 및 요지는 유사한 효과를 제공할 수 있는 다른 재료, 예를 들어, 알루미늄, 망간 및 탄탈륨의 산화물 및 불화물을 포함한다는 것을 이해하여야 한다. 이들중 몇몇은 결정질 또는 다결정질 절연성 재료이다. 몇몇은 유리질 세라믹으로 제작될 수 있다. 따라서, 알루미늄 산화물 또는 다른 금속 산화물 세라믹은 단결정 산화물, 다결정 산화물 또는 비정질 산화물일 수 있다. 이들 재료 모두는 전기적으로 절연성이고 플라즈마 에칭 분위기에서 내성이 강하고 고밀도 플라즈마 내에서 불필요한 입자를 형성하지 않아야 한다. 다른 재료는 선택적으로 사용될 수 있다.
3B족 금속은 스칸듐(Sc), 이트륨(Y), 셀륨 아족, 이트륨 아족 및 이들의 혼합물로 이루어진 그룹으로부터 바람직하게 선택된 금속이다. 셀륨 아족은 란탄(La), 셀륨(Ce), 프라세오디뮴(Pr), 네오디뮴(Nd), 프로메튬(Pm) 및 사마륨(Sm)을 포함한다. 이트륨 아족은 유로퓸(Eu), 가돌리늄(Gd), 테르븀(Tb), 디스프로슘(Dy), 홀뮴(Ho), 에르븀(Er), 툴륨(Tm), 이테르븀(Yb) 및 루테튬(Lu)을 포함한다. 본 발명의 바람직한 실시예에서, 3B족 금속은 이트륨이고, 따라서 3B족 금속의 바람직한 산화물은 Y2O3이다.
유전부재(20)와 프로세스 키트(14)는 1991년 에이에스엠 인터내셔널에 의해 출간되고 본 명세서에 참조문헌으로 합체된 엔지니어드 머티어리얼즈 핸드북의 "세라믹 및 글라스" 제하의 4권에 기재된 프로세스와 같은 적절한 세라믹 제작 프로세스에 의해 제작될 수 있다. 바람직하게, 유전부재(20)와 웨이퍼-포획링(16)과 포커스 링(18)은 다음 단계들: (i) 분말형 미가공 혼합물을 생산하기 위하여 분말형태의 세라믹 화합물과, 적절한 첨가제를 갖는 분말형태의 3B족 금속의 산화물과, 적절한 바인더제를 혼합하는 단계; (ii) 성형된 분말형 미가공 혼합물을 생성하기 위하여 상기 분말형 미가공 혼합물을 성형하는 단계; (iii) 미완성의 세라믹 제품[즉, 미완성의 유전부재(20) 또는 미완성의 프로세스 키트(14)]를 생성하기 위하여 상기 성형된 분말형 미가공 혼합물은 열처리(즉, 소결처리)하는 단계; (iv) 완성된 세라믹 제품[즉, 완성된 유전부재(20) 또는 완성된 프로세스 키트(14)]를 생성하기 위하여 상기 미완성의 세라믹 제품을 마무리하는 단계에 의해 제작된다.
후속과정으로 성형되는 분말형 미가공 혼합물은 세라믹 화합물, 3B족 금속의 산화물, 적절한 첨가제 및 적절한 바인더제의 적절한 비율로 구성된다. 바람직하게, 분말형 미가공 혼합물은 약 10 중량% 내지 약 85 중량%의 세라믹 화합물과, 약 3 중량% 내지 약 60 중량%의 3B족 금속 산화물과, 약 0.1 중량% 내지 약 6 중량%의 첨가제와, 약 5 중량% 내지 약 35 중량%의 바인더제로 구성된다. 더 바람직하게, 상기 분말형 미가공 혼합물은 약 20 중량% 내지 약 75 중량%의 세라믹 화합물과, 약 5 중량% 내지 약 55 중량%의 3B족 금속 산화물과, 약 0.5 중량% 내지 약 5 중량%의 첨가제와, 약 10 중량% 내지 약 30 중량%의 바인더제로 구성된다. 더욱 바람직하게, 상기 분말형 미가공 혼합물은 약 25 중량% 내지 약 70 중량%의 세라믹 화합물과, 약 10 중량% 내지 약 50 중량%의 3B족 금속 산화물과, 약 0.5 중량% 내지 약 4.5 중량%의 첨가제와, 약 12 중량% 내지 약 28 중량%의 바인더제로 구성된다.
적합한 첨가제는 열적처리 또는 소결처리와 간접하거나 유전부재(20) 및 프로세스 키트(14)의 최적의 요구된 특성에 악영향을 미치는 잔존물(residue) 또는 재(ash) 또는 다른 화학적 오염물을 남기지 않는 적합한 첨가물일 수 있다. 첨가제는 전형적으로 변하기 쉽지만, 첨가제의 비율은 최적의 세라믹 제품의 화학적 형성의 영구적 부분이다. 적절한 첨가제는 상술된 엔지니어드 머티어리얼즈 핸드북에 개시된 첨가제 또는 이들의 적절한 혼합물일 수 있고, 단지 예시의 방식에 의함과 같이 적절한 첨가제는 솔벤트, 분산제, 소결 보조제, 도펀트, 방부제, 표면활성제 및 이들의 혼합물로 이루어진 그룹으로부터 선택된다. 솔벤트는 물, 유기 극성용매[예를 들어, 패티 산성(fatty acid), 아민(amine), 알콜(alcohol), 알데하이드(aldehyde), 에스테르(ester), 에테르(ether), 케톤(ketone) 등]과 유기 비극성용매[예를 들어, 벤젠(benzene), 톨루엔(toluene) 등]를 포함한다. 분산제는 유기 대형분자(macromolecule)와 데플로쿨란트(deflocculant)[예를 들어, 비유기 폴리일렉트로라이트(nonorganic polyelectrolyte)]를 포함하고, 분말형 미가공 재료가 재결합하거나 응축하지 않도록 한다. 소결 보조제는 입도(grain) 성장을 제어하고 밀도화(densification)을 향상시키기 위하여 주로 첨가될 수 있는 하나 이상의 산화물의 조합이다. 입도 성장 및 밀도화는 산화 화학 첨가물, 더욱 전형적으로는 주요 산화물(예를 들어, 세라믹 화합물)과 다른 원자가(valence)를 구비하여 표면 에너지를 연속으로 변경시키기 위한 표면 화학특성과 상호작용하는 금속의 산화물인 도펀트에 의해 나쁜 영향을 받는다. 방부제는 미생물의 작용이 보유기간 동안 바인더 특성을 악화시키지 않는 것을 확보하기 위하여 첨가된다. 표면활성제는 분산된 상(phase)과 용매 사이의 계면 특성을 변형시키고, 용매에 의한 분말형 고체의 효과적인 전체 습윤을 가능하게 하는 습윤제로서, 기포형 기공을 최소화시키기 위한 안티포밍제(antifoaming agent)로서, 그리고 용매-분말형 미가공 재료 조성의 유동적 특성(rheological property)을 변경시키기 위한 유동적 변경제로서 기능을 발휘하고 소성제 및/또는 윤활제로서 작용한다.
적절한 바인더제는 열처리동안 성형된 분말형 미가공 혼합물의 취급 및 형상화를 용이하게 하기 위해 성형된 분말형 미가공 혼합물에 대한 적합한 강도와 적절한 탄성특성을 부여할 수 있는 적절한 바인더일 수 있다. 바인더제는 전형적으로 일시적이지만, 일부 바인더제는 최적의 세라믹 제품의 영구부분이다. 적절한 바인더제는 상술된 엔지니어드 머티어리얼즈 핸드북에 개시된 바인더 또는 이들의 적절한 혼합물일 수 있고, 단지 예시의 방식에 의함과 같이 적절한 바인더제는 콜로이달형 바인더[예를 들어, 셀루로즈(cellulose), 점토(clay)], 탄수화물-유도 유기 바인더(carbonhydrate-derived organic binder)[예를 들어, 메틸 셀루로즈(methyl cellulose), 소디움 알지네이트(sodium alginaate), 천연 고무질(natural gum), 등], 비탄수화물-유도 유기물(noncarbonhydrate-derived organic)[예를 들어, 폴리비닐 알콜(polyvinyl alcohol), 아크릴 수지(acrylic resin), 등]과 같은 수성 시스템용 바인더와, 폴리비닐 부티랄(polyvinyl butyral), 폴리메틸메타크리레이트 (polymethylmethacrylate) 및 상술된 바인더제의 적절한 혼합물과 같은 비수성 (유기 용매) 시스템용 바인더로부터 선택된다.
분말형 미가공 혼합물이 생성된 후에, 성형된 분말형 미가공 혼합물로 후속공정으로 형성된다. 성형은 가장 큰 정도의 입자 팩킹과 큰 정도의 균일성을 달성하기 위하여 기공형상으로 분말형 미가공 혼합물을 치밀화시키는 적절한 프로세스[예를 들어, 캐스팅(casting), 인출(extrusion), 건식 프레싱(dry pressing) 등]에 의해 달성된다. 본 발명의 바람직한 실시예에서, 성형된 분말형 미가공 혼합물은 때때로 더스트-프레싱(dust-pressing), 다이 프레싱(die pressing) 또는 단일축 조밀화(uniaxial compaction)으로서 언급되는 건식 프레싱에 의해 생산된다. 분말형 미가공 혼합물의 건식 프레싱은 다이 캐비티(die cavity) 내부의 분말형 미가공 혼합물을 단일축 방향으로 작용하는 인가된 압력의 사용을 통해 미리 정해진 형상으로 강화시키는 단계를 포함한다. 본 발명에서, 미리 정해진 형상은 유전부재(20)(즉, 유전창)의 형상 또는 웨이퍼-포획링(16) 및 포커스 링(18)의 형상이다. 건식 프레싱은 기술분야의 숙련된 당업자들에게 공지되어 있고 상술된 엔지니어드 머티어리얼즈 핸드북에 전체적으로 언급되어 있으며, 본 명세서에 참조문헌으로 합체된다. 건식 프레싱 공정은 다이 캐비티를 분말형 미가공 혼합물로 충진시키고, 치밀하거나 성형된 분말형 미가공 혼합물을 생성하기 위하여 상기 다이 캐비티 내의 분말형 미가공 혼합물을 프레싱 또는 강화시키고, 후속으로 성형된 분말형 미가공 혼합물을 추출시킨다.
성형된 분말형 미가공 혼합물은 적절한 방식으로 열처리, 바람직하게는 다른 방식으로는 성형된 분말형 미가공 혼합물을 느슨하게 하므로 서로 유지하도록 요구된 견인력을 발생시키는 내부입자 본딩을 제공하는 소결에 의해 처리된다. 소결(sintering)은 고온에 의해 자극된 원자이동의 결과이고 고온으로 가열될 때 성형된 분말형 미가공 혼합물의 입자를 서로 결합하도록 야기시킨다. 본 발명의 성형된 분말형 미가공 혼합물의 소결은 적절한 온도, 압력, 가열 및 냉각 속도, 그리고 퍼니스 분위기 조성물을 갖는 적절한 퍼니스(furnace)(예를 들어, 연소 또는 전기)와 함께 수행될 수 있다. 적절한 온도, 압력, 가열 및 냉각 속도, 그리고 퍼니스 분위기 조성물은 기술분야의 숙련된 당업자들에게 공지되어 있다. 성형된 분말형 미가공 혼합물은 란타늄 크로마이트(Lanthanum chromite; LaCr2O4) 또는 안정화 지르코니아(stabilized zirconia)와 같은 산화물 세라믹 가열요소와, 퍼니스 분위기 조성물으로 에어(air)를 갖는 전기적으로 가열된 퍼니스에서 소결된다.
성형된 분말형 미가공 혼합물이 열처리된 후, 미완성의(rough) 세라믹 제품[즉, 미완성의 유전부재(20) 또는 미완성의 프로세스 키트(14)]가 생성된다. 미완성의 세라믹 제품은 그라인딩, 래핑(lapping) 또는 폴리싱(polishing)에 의해서 후속하여 최종적으로 형상화된다. 미완성의 세라믹 제품이 유전돔의 형상인 미완성의 유전부재(20)이라면, 그라인딩에 의해 최종적으로 바람직하게 형상화된다. 미완성의 세라믹 제품이 미완성의 웨이퍼-포획링(16) 또는 미완성의 포커스 링(18)이라면, 래핑 또는 폴리싱에 의해 바람직하게 최종적으로 형상화된다. 그라인딩은 다이아몬드 연마제가 그라인딩 휠에 고정되어 보유되고 다양한 형태로 작업면[예를 들어, 돔형 유전부재(20)의 내부면과 외부면]에 대해 인가되는 연마 가공방법을 채용한다. 래핑은 높은 기하학적 정확도를 달성하고, 최소형상 오류를 보정하고, 표면 마무리를 개선하고 또는 정합면 사이의 치밀한 끼워맞춤을 제공하는 저압, 저속 작업에서 연마제를 느슨하게 하거나 결합시키는 무연마제(free- abrasive) 가공방법이다. 폴리싱도 또한 미세입자 크기의 연마제를 느슨하게 하고 표면 마무리를 개선시키도록 미리선택된 강도가 사용되는 무연마제 가공방법이다. 미완성의 세라믹 제품을 형상화하기 위한 그라인딩, 래핑 및 폴리싱 방법은 기술분야의 숙련된 당업자에게 공지되어 있고 상술된 엔지니어드 머티어리얼즈 핸드북에 전반적으로 개시되어 있다.
완성된 유전부재(20)와 프로세스 키트(14)에 적합한 세라믹 복합물은 약 30 중량% 내지 약 95 중량%의 세라믹 화합물과, 약 5 중량% 내지 약 70 중량%의 3B족 금속 산화물과, 약 15 중량% 미만(예를 들어, 약 0.5 중량% 내지 약 15 중량%)의 적절한 첨가제 및 적절한 바인더제로 구성되고, 이들 시료(agent)는 열처리동안 전형적으로 일시적이다. 더 바람직하게, 완성된 유전부재(2)와 프로세스 키트(14)용 세라믹 복합물은 약 40 중량% 내지 약 85 중량%의 세라믹 화합물과, 약 15 중량% 내지 약 60 중량%의 3B족 금속 산화물과, 약 10 중량% 미만(예를 들어, 약 0.5 중량% 내지 약 15 중량%)의 첨가제 및 바인더제로 구성되고, 가장 바람직하게 약 50 중량% 내지 약 75 중량%의 세라믹 화합물과, 약 30 중량% 내지 약 45 중량%의 3B족 금속 산화물과, 약 5 중량% 미만(예를 들어, 약 0.5 중량% 내지 약 5 중량%)의 첨가제 및 바인더제로 구성된다. 따라서, 세라믹 복합물은 많은 비율의 세라믹 화합물과 적은 비율의 3B족 금속의 산화물로 구성된다. 세라믹 화합물이 Al2O3이고, 3B족 금속의 산화물이 Y2O3일 때, 바람직한 세라믹 복합물은 약 60 중량% 내지 약 65 중량%의 Al2O3와, 약 35 중량% 내지 약 40 중량%의 Y2O3와, 2.5 중량% 미만(예를 들어, 약 0.5 중량% 내지 약 2.5 중량%)의 결합된 첨가제 및 바인더제로 구성된다.
본 발명의 세라믹 복합물은 전자 사이클로트론 공명(ECR) 소스 반응기, 헬리콘 소스 반응기, 헬리칼 공명 반응기 또는 유도성 결합 플라즈마 반응기와 같은 프로세싱 장치의 잠재적인 침식부분을 제작하는 데 사용될 수 있다. 바람직하게, 본 발명의 세라믹 복합물은 관련된 결합해제된 플라즈마 소스 식각챔버에서 플라즈마를 유도적으로 결합시킴으로써 유도성 플라즈마 소스를 발생시키고, 웨이퍼 기판(13)으로 이온 플럭스와 이온가속 에너지를 결합해제시키거나 분리시키는 유도성 결합 플라즈마 반응기의 유전창[즉, 하기에 도면번호 "62"로서 정의된 돔형 유전부재(20)]를 제작하는 데 사용된다.
유도성 결합 플라즈마 반응기는 몇몇 예시로서 금속 에칭, 유전체 에칭, 화학기상증착, 물리기상증착을 포함하는 반도체 웨이퍼[예를 들어, 웨이퍼 기판(13)] 상에서 다양한 프로세스를 수행하도록 현재 사용된다. 식각공정에서, 유도성 결합 플라즈마의 하나의 장점은 고밀도 플라즈마 이온 밀도가 최소 플라즈마 직류 바이어스로 큰 식각속도를 허용하도록 제공된다는 것이고, 이에 의해서 장치 손상을 감소시키도록 플라즈마 직류 바이어스를 제어한다. 이 목적을 달성하기 위하여, 유도성 코일에 인가된 소스 파워와 웨이퍼 페데스탈에 인가된 직류 바이어스 파워는개별적으로 제어된 RF 공급원이다. 바이어스와 소스 파워 공급원을 분리시키는 것은 공지된 기술에 따라 이온밀도 및 이온 에너지의 독자적인 제어를 용이하게 한다. 유도성 소스에서 플라즈마는 RF 파워를 비공명 유도성 코일 또는 플래너 코일(planar coil)에 인가함으로써 생성된다. 비공명 유도성 코일에 대한 RF 파워의 인가는 유전성 방전챔버(dielectric discharge chamber)를 통과하는 유도 RF 전기장에 의해 유전성 방전챔버 내에서 프로세스 가스의 붕괴(breakdown)를 야기시킨다. 그러므로, 코일 인덕터는 프로세싱 가스의 플라즈마를 촉진시키고 유지시키는 RF 파워를 제공한다.
본 발명의 세라믹 복합물로 이루어진 유전창을 포함하는 바람직한 유도성 결합 플라즈마 반응기는 미국 캘리포니아 95054-3299, 산타 클라라, 보워 애브뉴 3050에 소재하는 어플라이드 머티어리얼즈에 의해 소유된 상표명 DPSTM으로 판매되는 결합해제된 플라즈마 소스 식각챔버에서 플라즈마를 유도적으로 결합시키는 것이다. DPSTM브랜드의 식각챔버는 이온 가속 에너지로부터 기판 웨이퍼(13)로 이온 플럭스를 결합해제하거나 분리시키고 "하이브리드 컨덕터와 다중반경 돔 천장을 갖는 RF 플라즈마 반응기" 제하로 1995년 2월 15일 출원되고 본 양수인에게 양도되며 이 후에 축어적으로 반복되는 것처럼 본 명세서 참조문헌으로 합체된 계류중인 미국 특허출원 제08/389,889호에 개시된 유도성 결합 플라즈마 반응기의 DPSTM브랜드의 식각챔버일 수 있다. 계류중인 출원번호 제08/389,889호로부터 유도성 결합 플라즈마 반응기의 바람직한 실시예에 적합한 도 8을 참조하면, 유도성 결합 RF 플라즈마 반응기(90)는 반응챔버(92)를 갖고, 여기에서, 중성(n) 입자, 양성(+) 입자 및 음성(-) 입자의 고밀도 플라즈마(94)가 발견된다. 반응챔버(92)는 접지된 도전성 원통형 측벽(60)과 본 발명의 세라믹 복합물로 이루어진 유전창(62)을 갖는다. 도전성 결합 RF 플라즈마 반응기(90)는 반응챔버(92)의 중앙에서 (반도체) 웨이퍼(110)를 지지하기 위한 웨이퍼 페데스탈(64)과, 웨이퍼(110) 또는 웨이퍼 페데스탈(64)의 상부의 평면 근처에서 시작하고 반응챔버(92)의 상부를 향해 상방으로 연장하는 반응챔버(92)의 상부를 둘러싸는 원통형 인덕터 코일(68)과, 챔버(92)의 내부로 에칭가스를 공급하기 위한 에칭 가스 소스(72) 및 가스 유입구(74)와, 챔버(92) 내의 압력을 제어하기 위한 펌프(76)를 더 포함한다. 코일 인덕터(68)는 종래 활성 RF 매치 네트워크(80; active RF match network)를 통해 플라즈마 소스 파워 공급원 또는 RF 발생기(78)에 의해 에너지화되고, 코일 인덕터(68)의 상부 권취(winding)는 "여기"(hot)되고 하부 권취는 접지된다. 웨이퍼 페데스탈(64)은 바이어스 RF 파워 공급원 또는 발생기(84)에 연결된 내부 도전성 부분(82)과 [내부 도전성 부분(82)으로부터 절연된] 외부 접지 도전체(86)를 포함한다. 따라서, RF 발생기(78)에 의해 코일 인덕터(68)에 인가된 플라즈마 소스 파워와 발생기(84)에 의해 반도체 페데스탈(64)에 인가된 직류 바이어스 RF 파워는 개별적으로 제어된 RF 공급원이다. 바이어스와 소스 파워 공급기를 분리시키는 것은 공지된 기술에 따라서 이온밀도와 이온 에너지의 독자적인 제어를 용이하게 한다. 유도성 결합 플라즈마로서 고밀도 플라즈마(94)를 생성하기 위하여, 코일 인덕터(68)는 반응챔버(92)에 인접하고 RF 소스 파워 공급원 또는 RF 발생기(78)에 연결된다. 코일 인덕터(68)는 고밀도 플라즈마(94)의 높은 이온밀도를 촉진시키고 유지하는 RF 파워를 제공한다. 코일 인덕터(68)의 기하학적 형상은 반응챔버(92) 내에서 고밀도 플라즈마(94)의 플라즈마 이온밀도의 공간적 분포를 대부분 결정할 수 있다.
웨이퍼(110)를 가로지른 고밀도 플라즈마(94)의 플라즈마 밀도 공간적 분포의 균일성은 유전창(62)을 다중반경 돔으로 형상화시키고 유전창(62)의 다중반경의 각각을 개별적으로 결정하거나 또는 조정함으로써 (원추형 또는 반원형 천정에 비해) 개선된다. 유전창(62)의 다중반경 돔형상은 유전창(62)의 중심부 주위에서 유전창(62)의 곡률을 약간 편평화시키고, 유전창(62)의 원주부분은 급경사의 곡률을 갖는다.
비록 본 발명은 특정 실시예를 참조하여 본 명세서에 기재되었지만, 변형, 다양한 변경 및 교체의 범위는 상술된 개시에서 의도되고, 몇몇의 경우에서 본 발명의 몇몇 특징은 개시된 본 발명의 요지 및 사상으로부터 벗어나지 않고 다른 특징의 대응하는 사용없이 채용될 것이다. 그러므로, 많은 변형은 본 발명의 실질적인 요지 및 사상으로부터 벗어나지 않고 본 발명의 교시에 대한 특정 상황 또는 재료를 채택하도록 이루어질 수 있다. 본 발명은 본 발명을 수행하기 위해 제시된 양호한 모드로서 개시된 특정 실시예에 한정되지 않지만, 본 발명은 첨부된 청구범위의 요지 내에 일치하는 모든 실시예 및 등가의 예를 포함하도록 의도된다.

Claims (34)

  1. 챔버벽을 포함하는 반응챔버와,
    상기 챔버벽에 의해 지지되고 세라믹 화합물 및 3B족 금속의 산화물을 포함하는 세라믹 복합물로 이루어진 유전창과,
    상기 반응챔버에서 기판을 지지하기 위해 상기 반응챔버에 배치된 페데스탈 조립체와,
    프로세싱 파워 소스와,
    프로세싱 가스를 상기 반응챔버로 도입하기 위해 상기 반응챔버에 결합된 프로세싱 가스-도입 조립체와,
    상기 반응챔버에 근접하여 배치되고 상기 프로세싱 파워 소스에 연결되어 상기 반응챔버 내에서 프로세싱 가스로부터 플라즈마를 유지하는 데 조력하도록 상기 반응챔버로 파워를 전송하기에 적합한 프로세싱 파워-전송 부재로 구성되는 프로세싱 기판용 플라즈마 반응기.
  2. 제1항에 있어서, 상기 페데스탈 조립체에 의해 지지된 웨이퍼-포획링 부재를 더 포함하고, 상기 웨이퍼-포획링 부재는 상기 세라믹 화합물과 3B족 금속의 산화물을 포함하는 상기 세라믹 복합물로 구성되는 플라즈마 반응기.
  3. 제1항에 있어서, 상기 세라믹 복합물은 많은 비율의 상기 세라믹 화합물과적은 비율은 상기 3B족 금속의 산화물로 구성되는 플라즈마 반응기.
  4. 제1항에 있어서, 상기 세라믹 화합물은 실리콘 탄화물, 실리콘 질화물, 붕소 탄화물, 붕소 질화물, 알루미늄 질화물, 알루미늄 산화물 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 플라즈마 반응기.
  5. 제1항에 있어서, 상기 세라믹 복합물은 약 30 중량% 내지 약 95 중량%의 세라믹 화합물과 약 5 중량% 내지 70 중량%의 3B족 금속 산화물로 구성되는 플라즈마 반응기.
  6. 제1항에 있어서, 상기 세라믹 화합물은 알루미늄 산화물로 이루어지고 상기 3B족 금속의 산화물은 이트륨 산화물로 구성되는 플라즈마 반응기.
  7. 제2항에 있어서, 상기 반응챔버 내에 배치되고 상기 웨이퍼-포획링 부재와 분리가능하게 결합하는 포커스 링 부재를 더 포함하고, 상기 포커스 링 부재는 상기 세라믹 화합물과 상기 3B족 금속의 산화물을 포함하는 상기 세라믹 복합물로 이루어진 플라즈마 반응기.
  8. 제3항에 있어서, 상기 세라믹 화합물은 실리콘 탄화물, 실리콘 질화물, 붕소 탄화물, 붕소 질화물, 알루미늄 질화물, 알루미늄 산화물 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 플라즈마 반응기.
  9. 제3항에 있어서, 상기 세라믹 화합물은 알루미늄 산화물로 이루어지고 상기 3B족 금속의 산화물은 이트륨 산화물로 구성되는 플라즈마 반응기.
  10. 제5항에 있어서, 상기 세라믹 화합물은 알루미늄 산화물로 이루어지고 상기 3B족 금속의 산화물은 이트륨 산화물로 구성되는 플라즈마 반응기.
  11. 제7항에 있어서, 상기 유전창은 많은 비율의 상기 세라믹 화합물과 적은 비율은 상기 3B족 금속의 산화물로 구성되는 플라즈마 반응기.
  12. 제11항에 있어서, 상기 세라믹 화합물은 실리콘 탄화물, 실리콘 질화물, 붕소 탄화물, 붕소 질화물, 알루미늄 질화물, 알루미늄 산화물 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 플라즈마 반응기.
  13. 챔버벽과 상기 챔버벽에 의해 지지된 돔형 천장을 갖고, 상기 돔형 천장은 제1세라믹 화합물 및 3B족 금속의 제1산화물을 포함하는 제1세라믹 복합물로 구성되는 반응챔버;
    상기 반응챔버에 반도체 웨이퍼를 지지하기 위해 상기 반응챔버에 배치된 웨이퍼 페데스탈 조립체;
    유도성 결합 RF 파워 소스와,
    프로세싱 가스를 상기 반응챔버로 도입하기 위해 상기 반응챔버에 결합된 수단;
    상기 반응챔버에 근접하여 배치되고 상기 유도성 결합 RF 파워 소스에 연결된 코일 인덕터;
    상기 반도체 페데스탈 조립체에 연결된 바이어스 RF 소스로 구성되는 반도체 웨이퍼 프로세싱용 유도성 결합 RF 플라즈마.
  14. 제13항에 있어서, 상기 반응챔버에 배치된 프로세싱 가스의 고밀도 플라즈마를 더 포함하는 유도성 결합 RF 플라즈마 반응기.
  15. 제13항에 있어서, 상기 웨이퍼 페데스탈 조립체에 의해 지지된 웨이퍼-포획링 부재를 더 포함하고, 상기 웨이퍼-포획링 부재는 제2세라믹 화합물 및 3B족 금속의 제2산화물을 포함하는 제2세라믹 복합물로 구성되는 유도성 결합 RF 플라즈마 반응기.
  16. 제13항에 있어서, 상기 제1세라믹 복합물은 많은 비율의 상기 제1세라믹 화합물과 적은 비율은 상기 3B족 금속의 제1산화물로 구성되는 유도성 결합 RF 플라즈마 반응기.
  17. 제13항에 있어서, 상기 제1세라믹 화합물은 실리콘 탄화물, 실리콘 질화물, 붕소 탄화물, 붕소 질화물, 알루미늄 질화물, 알루미늄 산화물 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 유도성 결합 RF 플라즈마 반응기.
  18. 제13항에 있어서, 상기 제1세라믹 화합물은 알루미늄 산화물로 이루어지고 상기 3B족 금속의 제1산화물은 이트륨 산화물로 구성되는 유도성 결합 RF 플라즈마 반응기.
  19. 제14항에 있어서, 상기 돔형 천정을 통과하는 RF 프로세싱 파워를 더 포함하는 유도성 결합 RF 플라즈마 반응기.
  20. 제15항에 있어서, 상기 반응챔버 내에 배치되고 상기 웨이퍼-포획링 부재와 분리가능하게 결합하는 포커스 링 부재를 더 포함하고, 상기 포커스 링 부재는 제3세라믹 화합물과 3B족 금속의 제3산화물을 포함하는 제3세라믹 복합물로 이루어진 유도성 결합 RF 플라즈마 반응기.
  21. 제20항에 있어서, 상기 제1세라믹 복합물은 많은 비율의 상기 제1세라믹 화합물과 적은 비율은 상기 3B족 금속의 제1산화물로 구성되는 유도성 결합 RF 플라즈마 반응기.
  22. 제20항에 있어서, 상기 제2세라믹 화합물은 실리콘 탄화물, 실리콘 질화물, 붕소 탄화물, 붕소 질화물, 알루미늄 질화물, 알루미늄 산화물 및 이들의 혼합물로 이루어진 그룹으로부터 선택되는 유도성 결합 RF 플라즈마 반응기.
  23. 제20항에 있어서, 상기 제2세라믹 화합물은 알루미늄 산화물로 이루어지고 상기 3B족 금속의 제2산화물은 이트륨 산화물로 구성되는 유도성 결합 RF 플라즈마 반응기.
  24. 세라믹 화합물과 3B족 금속의 산화물을 포함하는 세라믹 복합물을 갖는 제1링 부재로 구성되는 반도체 기판 프로세싱용 프로세스 키트.
  25. 제24항에 있어서, 상기 제1링 부재와 분리가능하게 결합하고 상기 세라믹 화합물과 상기 3B족 금속의 산화물을 포함하는 상기 세라믹 복합물로 이루어진 프로세스 키트.
  26. 제25항에 있어서, 상기 세라믹 화합물은 알루미늄 산화물로 이루어지고 상기 3B족 금속의 산화물은 이트륨 산화물로 구성되는 프로세스 키트.
  27. 프로세싱 가스의 고밀도 플라즈마에서 기판의 프로세싱동안 높은 내침식성을 갖고 세라믹 화합물과 3B족 금속의 산화물을 포함하는 세라믹 복합물로 구성되는유전부재.
  28. 제27항에 있어서, 상기 세라믹 복합물을 통과하는 프로세싱 파워를 더 포함하는 유전부재.
  29. 제27항에 있어서, 상기 세라믹 화합물은 알루미늄 산화물로 이루어지고 상기 3B족 금속의 산화물은 이트륨 산화물로 구성되는 유전부재.
  30. (a) 챔버벽을 갖고 적어도 하나의 기판 및 적어도 하나의 기판을 프로세싱하기 위한 플라즈마 프로세싱 가스를 포함하고, 상기 챔버벽에 결합되고 세라믹 화합물과 3B족 금속의 산화물을 포함하는 세라믹 복합물로 이루어진 유전부재를 포함하는 챔버를 제공하는 단계와,
    (b) 상기 적어도 하나의 기판을 플라즈마 프로세싱 가스에서 프로세스하도록 프로세싱 파워를 상기 (a) 단계의 상기 유전부재를 통해 상기 챔버로 도입하는 단계로 이루어진 플라즈마 프로세싱 가스를 포함하는 챔버에서 적어도 하나의 기판을 프로세싱하기 위한 방법.
  31. (a) 금속층을 지지하는 기판을 제공하는 단계와,
    (b) 챔버벽과, 상기 챔버벽에 의해 지지되고 세라믹 화합물 및 3B족 금속의 산화물을 포함하는 세라믹 복합물로 이루어진 유전부재를 포함하는 챔버에 상기 기판을 배치시키는 단계와,
    (c) 상기 (b) 단계의 챔버로 프로세싱 가스를 도입하는 단계와,
    (d) 프로세싱 가스의 고밀도 플라즈마로 상기 기판 상의 금속층을 식각하도록 상기 (b) 단계의 유전부재를 통해 상기 챔버로 프로세싱 파워를 도입시키는 단계로 이루어진 기판 상의 금속층을 에칭하는 방법.
  32. 세라믹 화합물과, 3B족 금속의 산화물과, 바인더제를 포함하는 물체의 세라믹 복합물.
  33. 제32항에 있어서, 약 30 중량% 내지 약 95 중량%의 상기 세라믹 화합물과, 약 5 중량% 내지 약 70 중량%의 3B족 금속의 산화물과, 최소 비율의 상기 바인더제로 구성되는 세라믹 복합물.
  34. 제33항에 있어서, 최소비율의 첨가제를 더 포함하는 유기 복합물.
KR1020017001225A 1998-07-29 1999-07-26 기판 처리를 위한 반응기 및 방법 KR100729001B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/124,323 US6123791A (en) 1998-07-29 1998-07-29 Ceramic composition for an apparatus and method for processing a substrate
US09/124,323 1998-07-29

Publications (2)

Publication Number Publication Date
KR20010072092A true KR20010072092A (ko) 2001-07-31
KR100729001B1 KR100729001B1 (ko) 2007-06-14

Family

ID=22414193

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020017001225A KR100729001B1 (ko) 1998-07-29 1999-07-26 기판 처리를 위한 반응기 및 방법

Country Status (5)

Country Link
US (3) US6123791A (ko)
JP (3) JP2002521834A (ko)
KR (1) KR100729001B1 (ko)
TW (1) TWI237285B (ko)
WO (1) WO2000007216A1 (ko)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432256B1 (en) * 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
DE19924134A1 (de) * 1999-05-26 2000-11-30 Bosch Gmbh Robert Verfahren zur Herstellung keramischer Grünkörper
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP2002057207A (ja) * 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
US6514378B1 (en) * 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6620253B1 (en) * 2002-04-11 2003-09-16 Micron Technology, Inc. Engagement mechanism for semiconductor substrate deposition process kit hardware
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6774073B2 (en) 2002-07-29 2004-08-10 Coorstek, Inc. Graphite loaded silicon carbide and methods for making
US7147749B2 (en) * 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US7087537B2 (en) * 2004-03-15 2006-08-08 Sharp Laboratories Of America, Inc. Method for fabricating oxide thin films
KR100772740B1 (ko) 2002-11-28 2007-11-01 동경 엘렉트론 주식회사 플라즈마 처리 용기 내부재
JP4532479B2 (ja) 2003-03-31 2010-08-25 東京エレクトロン株式会社 処理部材のためのバリア層およびそれと同じものを形成する方法。
KR100918528B1 (ko) 2003-03-31 2009-09-21 도쿄엘렉트론가부시키가이샤 처리부재 상에 인접한 코팅을 결합시키는 방법
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US6953760B2 (en) * 2003-06-04 2005-10-11 Saint-Gobain Ceramics & Plastics, Inc. Ceramic component containing inclusions
US20050016684A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20070181420A1 (en) * 2006-02-07 2007-08-09 Ming-Tung Wang Wafer stage having an encapsulated central pedestal plate
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI567793B (zh) 2007-04-27 2017-01-21 應用材料股份有限公司 減小曝露於含鹵素電漿下之表面腐蝕速率的設備
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8900405B2 (en) * 2007-11-14 2014-12-02 Applied Materials, Inc. Plasma immersion ion implantation reactor with extended cathode process ring
JP2010174325A (ja) * 2009-01-29 2010-08-12 Kyocera Corp 放電用電極体、放電用電極アセンブリおよび放電処理装置
DE102010007552B4 (de) * 2010-02-11 2014-07-10 Xf Technologies B.V. Vegetationselement zur Begrünung von künstlichen nicht erdgebundenen Flächen mit niederen und/oder höheren Pflanzen sowie Verfahren zur Herstellung des Vegetationselements
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
JP5955394B2 (ja) * 2012-09-06 2016-07-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103903948B (zh) * 2012-12-27 2017-06-13 中微半导体设备(上海)有限公司 改善晶片边缘蚀刻速率均匀性的聚焦环
CN105088141A (zh) * 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20170018408A1 (en) * 2015-07-15 2017-01-19 Lam Research Corporation Use of sintered nanograined yttrium-based ceramics as etch chamber components
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
US20200062654A1 (en) * 2018-08-13 2020-02-27 Skc Solmics Co., Ltd. Boron carbide sintered body and etcher including the same
KR20200019070A (ko) * 2018-08-13 2020-02-21 에스케이씨솔믹스 주식회사 탄화붕소 소결체의 제조방법 및 성형다이
US20200051793A1 (en) * 2018-08-13 2020-02-13 Skc Solmics Co., Ltd. Ring-shaped element for etcher and method for etching substrate using the same
CN113795473A (zh) 2019-04-05 2021-12-14 贺利氏科纳米北美有限责任公司 用于蚀刻应用的受控孔隙率氧化钇
KR20220100892A (ko) 2019-11-18 2022-07-18 헤레우스 코나믹 노스 아메리카 엘엘씨 플라즈마 내성 이트륨 알루미늄 산화물 본체
KR102266986B1 (ko) * 2020-02-12 2021-06-21 에스케이씨솔믹스 주식회사 포커스링, 포커스링의 제조방법 및 반도체소자의 제조방법
CN116075405A (zh) 2020-10-03 2023-05-05 贺利氏科纳米北美有限责任公司 用于大尺寸的烧结陶瓷体的制备的设备
WO2022072705A2 (en) 2020-10-03 2022-04-07 Heraeus Conamic North America Llc Sintered ceramic body of large dimension and method of making
CN116134003A (zh) 2020-10-03 2023-05-16 贺利氏科纳米北美有限责任公司 大尺寸的烧结氧化钇体
JP2023545258A (ja) 2020-10-15 2023-10-27 ヘレーウス コナミック ノース アメリカ エルエルシー 多層焼結セラミック体及びその作製方法
EP4263215A1 (en) 2020-12-18 2023-10-25 Heraeus Conamic North America LLC Multilayer sintered ceramic body
KR20230107853A (ko) 2020-12-18 2023-07-18 헤레우스 코나믹 노스 아메리카 엘엘씨 플라즈마 저항성 이트륨 알루미늄 산화물 챔버 구성요소
JP2024522113A (ja) 2021-07-07 2024-06-11 ヘレーウス コナミック ノース アメリカ エルエルシー 耐プラズマ性材料のためのイットリア-ジルコニア焼結セラミック
EP4399191A1 (en) 2021-09-10 2024-07-17 Heraeus Conamic North America LLC Uv-activated red ceramic bodies comprising yag for use in semiconductor processing chambers
WO2023122597A1 (en) 2021-12-23 2023-06-29 Heraeus Conamic North America Llc Multilayer sintered ceramic body and method of making
EP4215360A1 (en) 2022-01-24 2023-07-26 Heraeus Conamic North America LLC Multilayer sintered ceramic body and method of making
TW202340123A (zh) 2022-03-31 2023-10-16 美商賀利氏科納米北美有限責任公司 陶瓷之高頻率拋光
EP4269024A1 (en) 2022-04-29 2023-11-01 Heraeus Conamic North America LLC High frequency polishing of ceramics
WO2024019940A2 (en) 2022-07-21 2024-01-25 Heraeus Conamic North America Llc Process for sintering large diameter yag layers substantially free of unreacted yttrium oxide and yttrium rich phases

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4244743A (en) * 1979-04-23 1981-01-13 United Technologies Corporation Sulfur containing refractory for resisting reactive molten metals
DE3543802A1 (de) * 1985-12-12 1987-06-19 Bbc Brown Boveri & Cie Hochtemperatur-schutzschicht und verfahren zu ihrer herstellung
JPH04280976A (ja) * 1991-03-06 1992-10-06 Canon Inc 改良されたマイクロ波導入窓を有するマイクロ波プラズマcvd装置
JP2697432B2 (ja) * 1991-11-15 1998-01-14 日新電機株式会社 エッチング装置
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5498313A (en) * 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
JP3276514B2 (ja) * 1994-04-26 2002-04-22 東京エレクトロン株式会社 プラズマ処理装置
DE9421671U1 (de) * 1994-08-26 1996-07-11 Siemens AG, 80333 München Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
JPH08293539A (ja) * 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
JP3102318B2 (ja) * 1995-10-06 2000-10-23 住友金属工業株式会社 アルミナ製マイクロ波導入窓の製造方法
JPH09129397A (ja) * 1995-10-26 1997-05-16 Applied Materials Inc 表面処理装置
JP3568006B2 (ja) * 1996-03-28 2004-09-22 三菱マテリアル株式会社 半導体製造装置用の窒化アルミニウム基材及びその製造方法
JP3261044B2 (ja) * 1996-07-31 2002-02-25 京セラ株式会社 プラズマプロセス装置用部材
JP3623054B2 (ja) * 1996-08-28 2005-02-23 京セラ株式会社 プラズマプロセス装置用部材
US5993594A (en) * 1996-09-30 1999-11-30 Lam Research Corporation Particle controlling method and apparatus for a plasma processing chamber
JPH10150020A (ja) * 1996-11-19 1998-06-02 Sumitomo Metal Ind Ltd プラズマ処理装置およびプラズマ処理方法
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor

Also Published As

Publication number Publication date
JP2010141341A (ja) 2010-06-24
WO2000007216A1 (en) 2000-02-10
TWI237285B (en) 2005-08-01
US20020100554A1 (en) 2002-08-01
US6352611B1 (en) 2002-03-05
US6641697B2 (en) 2003-11-04
JP2014042060A (ja) 2014-03-06
JP2002521834A (ja) 2002-07-16
US6123791A (en) 2000-09-26
KR100729001B1 (ko) 2007-06-14

Similar Documents

Publication Publication Date Title
KR100729001B1 (ko) 기판 처리를 위한 반응기 및 방법
KR101645043B1 (ko) 플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법
CN100545304C (zh) 用于半导体处理设备的陶瓷件
US8293335B2 (en) Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
EP0896362B1 (en) Semiconductor supporting device
US7135426B2 (en) Erosion resistant process chamber components
EP0680075B1 (en) Electrode for generating plasma and method for manufacturing the electrode
KR20220002943A (ko) 에칭 적용을 위한 제어된 다공성 산화이트륨
JP4570195B2 (ja) 炭化硼素接合体及びその製造方法及び耐プラズマ部材
JPH11209182A (ja) プラズマ耐食部材
US20230317423A1 (en) Magnesium aluminum oxynitride component for use in a plasma processing chamber
JP4623794B2 (ja) アルミナ質耐食部材及びプラズマ装置
JP2002293630A (ja) 耐プラズマ性部材およびその製造方法
JP2001233676A (ja) プラズマ耐食部材及びその製造方法
CN114277340B (zh) 零部件、耐等离子体涂层的形成方法和等离子体反应装置
JP4651148B2 (ja) 耐プラズマ部材及びプラズマ装置
KR20230104663A (ko) 플라즈마 프로세싱 챔버를 위한 스파크 플라즈마 소결된 (spark plasma sinter) 컴포넌트
JPH11278919A (ja) 耐プラズマ部材
JP2000243812A (ja) 半導体ウェハ用クランプリング
JP2009152570A (ja) 静電チャック

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
G170 Re-publication after modification of scope of protection [patent]
FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 7

FPAY Annual fee payment

Payment date: 20140529

Year of fee payment: 8

LAPS Lapse due to unpaid annual fee