JP2014042060A - 基板を処理する方法及び装置及びそれらのためのセラミック組成物 - Google Patents

基板を処理する方法及び装置及びそれらのためのセラミック組成物 Download PDF

Info

Publication number
JP2014042060A
JP2014042060A JP2013223589A JP2013223589A JP2014042060A JP 2014042060 A JP2014042060 A JP 2014042060A JP 2013223589 A JP2013223589 A JP 2013223589A JP 2013223589 A JP2013223589 A JP 2013223589A JP 2014042060 A JP2014042060 A JP 2014042060A
Authority
JP
Japan
Prior art keywords
group
ceramic
metal oxide
processing
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2013223589A
Other languages
English (en)
Inventor
Nianci Han
ナイアンチ ハン
Hong Shih
ホン シー
Jie Yuan
ジエ ジュアン
Danny Lu
ダニー ル
Diana Ma
ダイアナ マ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2014042060A publication Critical patent/JP2014042060A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S156/00Adhesive bonding and miscellaneous chemical manufacture
    • Y10S156/914Differential etching apparatus including particular materials of construction

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Physical Vapour Deposition (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】処理気体のプラズマの中で基板が処理される反応室の誘電体窓及び処理キットのための、高対腐食性を有し汚染の無いセラミック組成物を提供する。処理気体のプラズマを含む室内で基板を処理(例えば、エッチング)する方法も提供する。
【解決手段】このセラミック組成物は、セラミック化合物(例えば、Al23)と3B族金属酸化物(例えば、Y23)を含む。この方法は、セラミック組成物から形成された誘電体窓を介して処理パワーを送ることを含む。
【選択図】図1

Description

発明の背景
1.発明の属する技術分野
本発明は、処理気体のプラズマを含んだ室内で基板を処理(例えば、エッチング、化学又は物理蒸着等)する方法又は装置のためのセラミック組成物に関する。より詳細には、本発明は、処理気体の高密度プラズマを含んだ反応室内で半導体基板をエッチングするために処理パワーが通過する誘電体窓及び処理キットを製造するために使用することのできるセラミック組成物を提供する。このセラミック組成物は、半導体基板のエッチングの間に高い腐食抵抗性を有し、反応室内で腐食性材料が形成されるのを防止する。
2.従来の技術
半導体産業は、熱化学蒸着(CVD)、プラズマ増強CVD(PECVD)、プラズマ補助エッチング、及びスパッタリングによる堆積トポグラフイ修正などのさまざまに異なる処理に使用できる高いスループットの単一基板処理反応装置に依存している。いくつかの処理装置は、誘電体部材(すなわち、誘電体窓)を有し、そこを通じてウエハ基板を処理するために反応室内に処理気体からのプラズマを維持することを助けるための処理パワーを送る。
ウエハ基板を処理するのを助けるための補助として、処理キットが反応室内に時々使用される。処理キットは典型的には、処理中にウエハ基板を一般的に静止した位置に保持するためにペデスタル集合体により支持される捕獲リングを含む。また、処理キットは典型的には、ウエハ基板の処理最適化のためにウエハ基板上にプラズマ処理気体を閉じ込めることを助けるための捕獲リングにより動作中に支持される収束リングも含む。
誘電体部材(例えば、誘電体窓)及び処理キットは一般的に、重量で99.5%又はより高い酸化アルミニウム(Al23)を含んだ高パリテイ・アルミナ・セラミックなどのセラミック材料から構成される。高密度ハロゲン含有プラズマがウエハ基板のエッチング中にアルミナ誘電体部材及びアルミナ処理キットに接触する時、アルミナの腐食が生じ、パターン化されたウエハ基板を損傷する大きな粒子や汚染合成物の形成を引き起こす。
従って、誘電部材や処理キットが製造できる改良されたセラミック組成物が必要とされていて、そして発明された。食刻気体の高密度プラズマ内でウエハ基板をエッチングする間、全て腐食に対して高い抵抗性を有する誘電体部材(すなわち、誘電体窓)及び処理キットがさらに必要とされていて、そして発明された。
発明の概要
本発明は、処理する(例えば、エッチング、化学又は物理蒸着等)ためのプラズマ反応装置(例えば、誘導的結合RFプラズマ反応装置)を広く提供することにより、その所望の目的を達成する。プラズマ反応装置は、室壁と室壁により支持された誘電体部材(すなわち、誘電体窓)とを含む。誘電体部材はセラミック化合物とメンデレーエフの周期表の3B族金属の酸化物とを含んだセラミック組成物を含む。ペデスタル集合体が反応室内で基板を支持するために反応室内に配置される。処理気体導入集合体が反応室内に処理気体を導入するために反応室に係合される。プラズマ反応装置はさらに、反応室の近くに配置されて反応室内で処理気体からのプラズマを維持することを補助するために処理室内にパワーを送信するために処理パワー源に接続された処理パワー送信部材を含む。もしプラズマ反応装置が誘導的結合RFプラズマ反応装置であれば、ペデスタル集合体はバイアスRF源に結合されて、そして処理パワー送信部材は誘導的結合RF源に接続されたコイル誘導回路である。
処理キットは、基板の処理を補助するために反応室内に置かれる。処理キットはペデスタル集合体により支持されていて、そして両方ともセラミック化合物と3B族金属の酸化物を含んだセラミック組成物を含む捕獲リング部材及び収束リング部材を含む。
本発明のセラミック組成物のためのセラミック化合物は好ましくは、炭化ケイ素、窒化ケイ素、炭化ホウ素、窒化ホウ素、窒化アルミニウム、酸化アルミニウム、及びそれらの混合物からなるグループから選ばれる。より好ましくは、セラミック化合物は、特に相対的に高価でなく且つ容易に入手可能なため酸化アルミニウムである。酸化アルミニウムは、「ガスを放出」せず又は処理操作中に基板上にスパッターされるようような汚染物質を含まない十分に純粋なものであり、そして予期される特定のエッチング工程にさらされる時に化学的に安定である。セラミック化合物を形成するのに使用される他の材料は、限定する意図ではなく例示として、アルミニウム、マグネシウム、タンタルの酸化物又はフッ化物である。酸化アルミニウム又は金属酸化物セラミックは単一結晶酸化物、多結晶酸化物、又はアモルファス酸化物である。
本発明の3B族金属酸化物を形成するための3B族金属は好ましくは、スカンジウム、イットリウム、セリウム・サブグループ、及びイットリウム・サブグループからなるグループから選ばれた金属である。より好ましくは、3B族金属はイットリウムである。従って、3B族金属の酸化物は好ましくは酸化イットリウムである。
セラミック化合物及び3B金属酸化物は、いかなる適当な割合でもっても結合できる。好ましくは、セラミック組成物は約30重量パーセント乃至約95重量パーセントのセラミック化合物と約5重量パーセント乃至約70重量パーセントの3B族金属酸化物を含むようなものである。より好ましくは、セラミック組成物はセラミック化合物の大部分と3B金属酸化物の小部分を含む。代替的には、セラミック組成物は約30重量パーセント乃至約95重量パーセントのセラミック化合物と約5重量パーセント乃至約70重量パーセントの3B族金属酸化物と小さな割合の結合剤又は小さな割合の結合剤及び/又は添加剤を含むようなものである。誘電体部材(すなわち、誘電体窓)又は処理キットをセラミック組成物から製造又は形成する好ましい工程は、セラミック化合物、3B族金属酸化物、適当な添加剤、及び適当な結合剤を含む粉末原料混合物を熱的に処理することである。
本発明は、プラズマ処理気体を含んだ室内で少なくとも1つの基板を処理するために、以下のステップ含む方法を広く提供することにより所望の目的を達成する。
(a)室壁を有し少なくとも1つの基板と少なくとも1つの基板を処理するためのプラズマ処理気体を含んだ室を提供し,セラミック化合物(例えば、Al23)と3B族金属酸化物(例えば、Y23)とを含んだセラミック組成物を有しそして室壁に係合した誘電体部材を含み、そして
(b)プラズマ処理気体内の少なくとも1つの基板を処理するためにステップ(a)の室内に誘電体部材を介して処理パワーを供給する。
本発明はさらに、以下のステップを含んだ基板上の金属層をエッチングする方法を広く提供することにより、その所望の目的を達成する。
(a)金属層を支持する基板を提供し、
(b)室壁と、アルミナなどのセラミック化合物と酸化イットリウムなどの3B金属酸化物とを含んだセラミック組成物を含みそして室壁により支持された誘電体部材とを含む室内に、基板を配置し、
(c)ステップ(b)の室内に処理気体を導入し、そして
(d)基板上の金属層を処理気体の高密度プラズマ内でエッチングするために誘電体部材を介してステップ(b)の室内に処理パワーを導入する。
従って、本発明の1つの目的は、基板を処理するためのプラズマ反応装置を提供することである。
本発明の別の目的は、プラズマ処理気体を含んだ室内において基板を処理するための方法を提供することである。
本発明のさらに別の目的は、誘電体窓及び処理キットを形成する又は製造するために好ましくは使用されるセラミック組成物を提供することである。
これらの目的と以降の詳細な説明が進むにつれて当業者に明かとなる付随の目的及び特徴が、新規な装置と方法により達成される。これらの好ましい実施の形態が例示の目的のために添付図面を参照して説明する。
内部に置かれたペデスタル集合体と、本発明のセラミック組成物を含みそしてウエハ基板をその上に保持するためにペデスタル集合体と係合したウエハ捕獲リングと、本発明のセラミック組成物を含みそしてウエハ基板上に処理気体のプラズマを集中させるのを補助するためにペデスタル集合体により支持可能な収束リングと、を含んだ処理室の部分的な側面図。 本発明のセラミック組成物を含んだ収束リングの上平面図。 図2中の収束リングの底平面図。 図2中の4−4線の平面で切断して矢印の方向に見た垂直断面図。 本発明のセラミック成分を含んだウエハ捕獲リングの上平面図。 図5中のウエハ捕獲リングの底平面図。 図5中の7−7線の平面で切断して矢印の方向に見た垂直断面図。 本発明のセラミック組成物を含んだドーム形状の誘電体天井を有する誘導的に結合されたRFプラズマ反応装置の単純化された断面図。
本発明の好適な実施の形態
図面を参照すると、本発明の同様な部分が同様な符号で示されている。概略的に処理室が10で示されていて、室壁11と、処理室10内で処理される間、基板又は半導体ウエハ13などの基板を支持するために一般に12として示されるベデスタル集合体と、を有する。図1には処理キットが一般に14として示され、そしてウエハ基板13の処理を補助するためにペデスタル集合体12により支持されている。処理キット14は、ウエハ基板13を処理されている間に静止状態に保つためにペデスタル集合体12に接続されたウエハ捕獲リング16を含む。処理キット14はまた、ウエハ基板13上に集中された及び/又は位置する処理気体の高密度プラズマ94を維持するのを助けるための収束リング18も含む。ウエハ捕獲リング16及び収束リング18はそれぞれリング開口16a及び18aを有する(図2−7参照)。
ウエハ基板13は、処理室10内で集積回路(IC)金属相互接続装置のパターン化のためのプラズマ・エッチングなどの、どんなプラズマ処理工程により処理されてもよい。本発明の範囲の中に含まれる他の形式の基板の処理としては、化学蒸着、物理蒸着、等が含まれる。プラズマ処理の間、処理パワー(例えば、RFパワー、マグネトロン・パワー、マイクロ波パワー、等)が、セラミック・ドーム等の不導電性材料の誘電体窓を含む、誘電部材20を通じて送られて、処理気体の高密度プラズマ94と結合する。もし、プラズマ処理がプラズマ・エッチングであるならば、金属(例えば、白金、銅、アルミニウム、チタニウム、ルテニウム、イリジウム等)の金属エッチングは基板で支持されながら行なわれる。
誘電体部材20及び処理キット14は、セラミック材料から製造される。ウエハ基板13が処理される時、セラミック誘電体部材20及びセラミック処理キット14が腐食されて、汚染粒子の発生を引き起こす。誘電部材20及び処理キット14の腐食は、ウエハ基板13がエッチャント気体の高密度プラズマ内のエッチングにより処理される時、特にエッチャント気体がCl2及びBCl3などのハロゲン含有エッチャント気体の時、特に深刻となる。高密度プラズマは、約109/cm3よりも高い、好ましくは約1011/cm3よりも高い、イオン密度を有するエッチャント気体のプラズマとして定義される。高密度プラズマの源は、電子サイクロトロン共振(ECR)、ヘリコン共振又は誘導結合プラズマ(ICP)タイプ源などのどんな適当な高密度源であってよい。
もし、誘電体部材20と処理キット14が本発明のセラミック組成物から製造されると、誘電体部材20及び処理キット14はウエハ基板13の処理の際、特に、高密度プラズマ中のプラズマ・エッチングによる、本質的に腐食されないことが発見された。従って、誘電体部材20(すなわち、誘電体窓)はウエハ捕獲リング16及び収束リング18を含む処理キットと同様に、セラミック化合物及びバン・ノストランド・レインホルド社発行のジースナー・ジー・ホウレイにより改定された第10版簡約化学辞典の789頁に示されるようなメンデレーエフの周期表の3B族金属酸化物を含んだ本発明のセラミック組成物を含む。
セラミック組成物のためのセラミック化合物は、典型的に電気的に絶縁性で、そして結晶性が材料とその処理に依存して、アモルフアス、ガラス状、微小結晶、及び単結晶と変化する化合物である。セラミック組成物は好ましくは、本質的に非浸透性の材料である。それは良好な電気絶縁体であり、それは相対的に純粋な形(約99重量%又はそれ以上)から作られているため、プラズマ環境内において低程度の化学的反応性を有する。特に処理気体の高密度プラズマ(例えば、高密度プラズマ94)内で基板をエッチングする際に処理パワーが誘電体部材20を通じて送信される時、セラミック化合物は、3B族金属酸化物と結合して高耐腐食性セラミック構造を形成する適当なセラミック化合物であってよい。セラミック化合物は好ましくは、炭化ケイ素(SiC)、窒化ケイ素(Si34)、炭化ホウ素(B4C)、窒化ホウ素(BN)、窒化アルミニウム(AlN)、酸化アルミニウム(Al23)、及びこれらの混合物をからなるグループから選択される。特に、酸化アルミニウムは相対的に安価であり、そして容易に入手可能であるから、セラミック化合物は酸化アルミニウム(Al23)を含む。
酸化アルミニウムは十分に純粋であって、「ガス放出」することなく、そして処理操作中に基板上にスパッターされる汚染物質を含まない。そして、意図される特定のエッチング処理にさらされる時に化学的に安定でなければならない。酸化アルミニウムが本発明の好適な実施の形態において好適なセラミック化合物であるが、本発明の範囲の中には、例えば、アルミニウム、マグネシウム、及びタンタルの酸化物やフッ化物など、同様の効果を与えることのできる他の絶縁性材料を含む。これらのいくつかは、結晶質又は多結晶質絶縁性材料である。あるものはガラス状セラミックとして製造できる。従って、酸化アルミニウム又は他の酸化金属セラミックは単結晶酸化物、多結晶酸化物、又はアモルフアス酸化物である。これらの材料は全て電気的に絶縁性であり、そして一般にプラズマ・エッチング環境下で健全であって高密度プラズマ94の存在下で好ましくない粒子を発生しない。他の材料も代替的に使用することができる。
3B金属は好ましくは、スカンジウム(Sc)、イットリウム(Y)、セリウム・サブグループ、イットリウム・サブグループ、及びこれらの混合物からなるグループから選ばれた金属である。セリウム・サブグループは、ランタン(La)、セリウム(Ce)、プラセオジム(Pr)、ネオジム(Nd)、プロメチウム(Pm)、及びサマリウム(Sm)を含む。イットリウム・サブグループは、ユウロピウム(Eu)、ガドリニウム(Gd)、テルビウム(Tb)、ジスプロシウム(Dy)、ホルミウム(Ho)、エルビウム(Er)、ツリウム(Tm)、イッテルビウム(Yb)、及びルテチウム(Lu)を含む。本発明の好適な実施の形態において、3B族金属はイットリウム(Y)である。従って、3B族金属の酸化物は好ましくは酸化イットリウム(Y23)である。
誘電部材20及び処理キット14は、いかなる適当なセラミック製造工程により製造できる。例えば、ASMインターナショナル(c)1991によるエンジニアリング材料ハンドブックのタイトル「セラミック及びガラス」の4巻に記載されているような工程である。好ましくは、誘電部材20、ウエハ捕獲リング16、及び収束リング18は以下のステップで製造される。(i)粉末形式のセラミック化合物と、適当な添加剤と一緒の粉末形式の3B族金属酸化物と、適当な結合剤を混合して、粉末原料混合物を作成し、(ii)粉末原料混合物を成形して成形粉末原料混合物を作成し、(iii)成形粉末原料混合物を熱処理して(すなわち、燒結して)、粗いセラミック製品(すなわち、粗い誘電体部材20又は粗い処理キット14)を作成し、そして(iv)粗いセラミック製品を仕上げして仕上げされたセラミック製品を作成する(すなわち、仕上げされた誘電部材20又は仕上げされた処理キット14)。
その後に成形される粉末原料混合物は、セラミック化合物、3B金属酸化物、適当な添加剤、及び適当な結合剤をいかなる適当な割合でも含む。好ましくは、粉末原料混合物は、約10重量%から約85重量%のセラミック化合物、約3重量%から60重量%の3B族金属酸化物、約0.1重量%から約6重量%の適当な添加剤、及び約5重量%から約35重量%の適当な結合剤を含む。より好ましくは、粉末原料混合物は、約20重量%から約75重量%のセラミック化合物、約5重量%から55重量%の3B族金属酸化物、約0.5重量%から約5重量%の適当な添加剤、及び約10重量%から約30重量%の適当な結合剤を含む。最も好ましくは、粉末原料混合物は、約25重量%から約70重量%のセラミック化合物、約10重量%から50重量%の3B族金属酸化物、約0.5重量%から約4.5重量%の適当な添加剤、及び約12重量%から約28重量%の適当な結合剤を含む。
適当な添加剤は、熱処理又は燒結処理と干渉するような残留物、又は灰、又は他の化学汚染を残さず、また誘電体部材20及び処理キット14の最終的に所望な性質に悪影響を与えない適当な添加剤である。添加剤は典型的に一時的なものであるが、添加剤の一部は通常最終セラミック製品の化学的組成の永久的な部分である。適当な添加剤は、前記のエンジニアリング材料ハンドブックに開示されたいずれの添加剤又はそれらの適当な混合物である。たとえば、例示目的のみとして、溶剤、分散剤、燒結補助剤、ドーパント、防腐剤、界面活性剤、及びこれらの混合物から成るグループから選ばれたいかなる適当な添加剤である。溶剤は水、有機分極溶剤(例えば、脂肪酸、アミン、アルコール、アルデヒド、エステル、エーテル、ケトン等)、及び有機非分極溶剤(例えば、ベンゼン、トルエン等)である。分散剤は、有機高分子及び解膠剤(例えば、非有機高分子電解質)を含み、そして粉末原料材料が再結合又は凝集しないことを保証する。燒結補助剤は典型的に1つ又は複数の酸化物の組合せであり、結晶粒の成長を制御して緻密化を増強するために主として添加される。結晶粒の成長と緻密化は、酸化化合物添加剤、より典型的には表面エネルギーを後で変える表面化学と相互作用する主要酸化物(すなわち、セラミック化合物)とは異なる原子価を有する金属酸化物であるドーパントにもより影響を受ける。防腐剤は微生物活動が保存期間に結合剤の性質を劣化させないことを保証するために添加されてもよい。界面活性剤は、分散された相と溶剤間の接触面の性質を修正して、そして溶剤による粉末固体の有効全体濡れを可能にする湿潤剤として、泡タイプの孔を最小にするための反泡剤として、溶剤と粉末原料材料組成物のレオロジー性質を変化させるためのレオロジー変化剤として、そして柔軟剤及び/又は潤滑剤としての機能を果たす。
適当な結合剤は、熱処理の際に成形粉末原材料混合物を形成し取扱うことを容易にするために、成形粉末原材料混合物に適当な弾性性質と十分な強度を与えることができる適当な結合剤であればどんなものでもよい。結合剤は典型的に一時的であるが、結合剤の一部は通常最終セラミック製品の永久的な部分である。適当な結合剤は上記のエンジニアリング材料ハンドブックに開示されたどんな結合剤又はそれらの適当な混合物であってよい。例えば、コロイド状タイプ結合剤(例えば、セルロース、粘土)など、炭水化物由来有機結合剤(例えば、メチルセルロース、アルギン酸ナトリウム、天然ゴム等)など、非炭水化物由来有機物(例えば、ポリビニール・アルコール、アクリル樹脂等)などの水溶性のシステムに対する結合剤、ポリビニール・ブチラール及びポリメタクリル酸メチルなどの非水溶性(有機溶剤)システムに対する結合剤、そして前記の結合剤のいずれかの適当な混合物のいずれから選ばれた適当な結合剤である。
粉末原材料混合物が作成された後に、成形粉末原材料混合物が成形される。成形は例えば粉末原材料混合物を最高程度の粒子充填と高い均質性を達成するためにポーラス形状に圧縮することを含むいずれかの適当な処理(例えば、キャステイング、押出し成形、乾式プレス等)により達成できる。本発明の好適な実施の形態では、成形粉末原材料混合物は時々ダスト・プレス、ダイ・プレス又は単軸圧縮と呼ばれる乾式プレスにより製造され。粉末原材料混合物の乾式圧縮はダイ空洞内の粉末原材料混合物を単軸方向に作用する加えられた圧力を使用して所定の形状に固めることを含む。本発明では、所定の形状は好ましくは誘電体部材20(すなわち、誘電体窓)の形状又はウエハ捕獲リング16及び収束リング18の形状である。
乾式プレスは当業者には良く知られており、そして上記のエンジニアリング材料ハンドブックに完全に記載されている。乾式プレスは概略的に、ダイ空洞内に粉末原材料混合物を充填し、圧縮され又は成形された粉末原材料混合物を製造するためにダイ空洞内の粉末原材料混合物をプレス又は圧縮し、そしてその後に成形粉末原材料混合物を排出することを含む。
成形粉末原材料混合物はどんな適当な方法により熱的に処理できる。好ましくは、緩んでしまう成形粉末原材料混合物を一緒に保持するのに必要な吸着力を発生するための粒子間結合を与える燒結により。燒結は高温度により刺激された原子移動の結果であり、高温に加熱された時に成形粉末原材料混合物の粒子を互いに結合させる。本発明の成形原材料混合物の燒結は、いずれの適当な炉(例えば、燃焼又は電気)においていずれの適当な温度、圧力、加熱及び冷却速度、及び炉雰囲気構成で実行できる。適当な温度、圧力、加熱及び冷却速度、及び適当な雰囲気構成は当業者に良く知られている。成形粉末原材料混合物は、ランタンクロム(LaCr24)又は安定化ジルコニアなどのセラミック酸化物加熱エレメント、そして炉雰囲気構成として空気を有する電気加熱炉において燒結してもよい。
成形原材料混合物が熱的に処理された後、粗いセラミック製品(すなわち、粗い誘電体部材20又は粗い処理キット14)が製造される。粗いセラミック製品は好ましくは、研磨、ラップ仕上げ、ポリッシングなどにより最終的に形成される。もし、粗いセラミック製品が誘電体ドームの形状の粗いセラミック製品であれば、それは好ましくは研磨により最終的に形成される。もし、粗いセラミック製品が粗い捕獲リング16又は粗い収束リング18であれば、好ましくは最終的にラップ仕上げ又はポリッシングにより形成される。研磨はダイヤモンド研磨材が研磨ホイールに固定されてさまざまな外形の製品表面(例えば、ドーム形状誘電体部材20の内側表面と外側表面)に対して当てる、研磨材により機械加工方法を使用する。ラップ仕上げは、低圧、低速度操作で緩い又は結合した研磨剤が高い幾何学的正確性を達成し、小さな形状誤差を修正し、表面仕上げを改善し、又は結合表面間の緊密な適合を与える、自由な研磨剤による機械加工方法である。ポリッシングも自由な研磨剤による機械加工方法であり、細かい粒子大きさで且つ予め選ばれた硬さの緩い研磨剤が表面仕上げを改善するために使用される。粗いセラミック製品を成形するための研磨、ラップ仕上げ、及びポリッシング方法は当業者には良く知られていて、前記のエンジニアリング材料ハンドブックに記載されている。
完成誘電部材20及び処理キット14のセラミック組成物は、約30重量%乃至約95重量%のセラミック化合物、約5重量%乃至約70重量%の3B族金属酸化物、そして熱的処理の際に典型的になくなるために約15重量%より少ない(例えば、約0.5重量%乃至約15重量%)適当な添加剤と適当な結合剤の組合せを含む。より好ましくは、完成誘電部材20及び処理キット14のセラミック組成物は、約40重量%乃至約85重量%のセラミック化合物、約15重量%乃至約60重量%の3B族金属酸化物、そして約10重量%より少ない(例えば、約0.5重量%乃至約15重量%)適当な添加剤と適当な結合剤の組合せを含む。最も好ましくは、完成誘電部材20及び処理キット14のセラミック組成物は、約50重量%乃至約75重量%のセラミック化合物、約30重量%乃至約45重量%の3B族金属酸化物、そして熱的処理の際に典型的になくなるために約5重量%より少ない(例えば、約0.5重量%乃至約5重量%)適当な添加剤と適当な結合剤の組合せを含む。従って、セラミック組成物はセラミック化合物の主要な割合と3B族金属酸化物の小さな割合を含む。セラミック化合物がAl23であり、3B族金属がY23である時、好ましいセラミック組成物は約60重量%乃至約65重量%のAl23、約35重量%乃至約40重量%のY23、そして約2.5重量%より少ない(例えば、約0.5重量%乃至約2.5重量%)添加剤と結合剤の組合せを含む。
本発明のセラミック組成物は、電子サイクロトロン共振(ECR)源反応装置、ヘリコン源反応装置、らせん共振反応装置、又は誘導結合プラズマ反応装置などの処理装置の潜在的な腐食部分を製造するのに使用できる。好ましくは、本発明のセラミック組成物は、誘導プラズマ源を発生する誘導結合プラズマ反応装置の誘電体窓(すなわち、以下に「62」で識別されるドーム形状誘電体部材20)に使用される。誘導結合プラズマ反応装置は関連した切離されたプラズマ源食刻室内で誘導的にプラズマを結合して、ウエハ基板13へのイオン流量及びイオン加速エネルギーを分離又は切離す。
誘導結合プラズマ反応装置は現在、例えば金属エッチング、誘電体エッチング、化学蒸着、及び物理蒸着などを含む半導体基板(例えば、ウエハ基板13)をさまざまに処理するために使用されている。食刻処理において、誘導結合プラズマの1つの長所は、最小のプラズマD.C.バイアスで持って大きな食刻速度を可能にする高密度プラズマ・イオン密度が提供され、これにより装置の損傷を減少するためにプラズマD.C.バイアスのさらなる制御を可能にすることである。この目的のため、誘導コイルに加えられたソース・パワーとウエハ・ペデスタルに加えられたD.C.バイアス・パワーは別途に制御されるRF供給である。バイアス及びソース・パワー供給を分離することは、周知の技術により、イオン・エネルギーとイオン密度を独立に制御することを容易にする。誘導源内のプラズマはRFパワーを非共振誘導コイル又は平面コイルに加えることにより発生される。非共振誘導コイルへRFパワーを加えると、誘電体ディスチャージ室を通過する誘導RF電界により誘電体ディスチャージ室内の処理気体のブレークダウンを生ずる。従って、コイル誘導体は処理気体のプラズマを発生させ維持するRFパワーを供給する。
本発明のセラミック粗製物を含む好適な誘導結合プラズマ反応装置は、カリフォルニア95054−3299、サンタ・クララ、ボウアーズ、アベニュー3050、アプライド・マテリアル社の有する商標DPSの名前で販売されている切離しプラズマ源食刻室内のプラズマと誘導的に結合するものである。DPS(商標)ブランドの食刻室は、イオン加速エネルギーから基板ウエハー13へのイオン流束を分離又は切り離す。誘導結合プラズマ反応装置のDPS(商標)ブランドの食刻室は、1995年2月15日に出願され本譲受人に譲渡された同時係属中の米国特許出願シリアル番号08/389,889号、発明の名称「ハイブリッド導体と多径ドーム天井を持ったRFプラズマ反応室」に開示されている。図8を参照すると、同時係属出願シリアル番号08/389,889号の誘導結合プラズマ反応装置が好適な実施の形態が示されている。誘導結合RFプラズマ反応装置が一般に90として示されていて、一般に92として示される反応室を有する。この中で、中性粒子(n)、正粒子(+)、負粒子(−)の高密度プラズマが示されてる。反応室92は、接地された導電性円筒形側壁60及び本発明のセラミック粗製物を含む誘電体窓62を含む。誘導結合RFプラズマ反応装置90はさらに、反応室92中央で(半導体)ウエハ110を支持するためのウエハ・ペデスタル64と、ウエハ110の上表面又はウエハ・ペデスタル64近くから始まりそこから反応室92の上方向に延びる反応室92の上部分を囲む円筒形誘導コイル68と、室92の内部にエッチング気体を供給するためのエッチング気体源72及び気体入口74と、室92内の圧力を制御するポンプ76とを含む。コイル誘導体68は、プラズマ源パワー供給又は従来のアクティブRF整合ネットワーク80を介してRF発生器78によりエネルギーを与えられる。コイル誘導体68の上の巻線は「ホット」となり、下の巻線は接地される。ウエハ・ペデスタル64は、RFパワー供給又は発生器84に接続された内部導電性部分82と、外部接地導体86(内部導電性部分82からは絶縁されている)とを含む。このようにして、RF発生器78によりコイル誘導体68に与えられるプラズマ源パワー及び発生器84によりウエハ・ペデスタル64に与えられたDCバイアスRFパワーは、別途に制御されるRF供給である。バイアスと源パワー供給を分離すると、周知の技術により、イオン密度とイオン・エネルギーを独立に制御することが容易になる。誘導結合プラズマとして高密度プラズマ94を発生するために、コイル誘導体68は反応室92の近くにあり、そしてRF源パワー供給又はRF発生器78に接続されている。コイル誘導体68は、高密度プラズマ94の高イオン密度を発生し維持するRFパワーを供給する。コイル誘導体68の幾何学的形状は、反応室92内の高密度プラズマ94のプラズマ・イオン密度の空間分布の大部分を決定できる。
ウエハ110を横断する高密度プラズマ94のプラズマ密度空間分布の均一性は、多径ドーム内の誘電体窓62の形状により又は誘電体窓62の多径のそれぞれを調節することにより改善される(相対的に円錐又は半球状天井)。誘電体窓62の多径ドーム形状は、誘電体窓62の中心部分回りの誘電体窓62の曲率を幾分平にし、誘電体窓62の周辺部分はより急な曲率を有する。
本発明が特定の実施の形態を参照して説明されたが、さまざまな変形や修正が前述の開示について意図される。そして、説明した本発明の精神と範囲を逸脱することなく、ある例においては、本発明のある特徴を使用して他の特徴の対応した使用をしないことも理解できる。従って、本発明の本質的な精神と範囲を逸脱することなく、特定の状況又は材料に適応するためのさまざまな修正が本発明の教示についてできる。本発明は、本発明を実施するための考えられる最良の形態として開示された特定の実施の形態に限定する意図はない。本発明は特許請求の範囲の記載に含まれる全ての実施の形態およびその均等物を含む。

Claims (34)

  1. 室壁を有する反応室を含む基板を処理するためのプラズマ反応装置において、
    前記室壁に支持されて、セラミック化合物と3B属金属酸化物を含むセラミック組成物を有する誘電体窓と、
    前記反応室内で基板を支持するために前記反応室内に配置されたペデスタル集合体と、
    処理パワー源と、
    前記反応室内に処理気体を導入するために前記反応室と係合した処理気体導入集合体と、
    前記反応室近傍に配置され、反応室内に反応気体からのプラズマを維持することを助けるために反応室内にパワーを送るため前記処理パワー源に接続された処理パワー送信部材と、
    を含むプラズマ反応装置。
  2. 前記ペデスタル集合体により支持されたウエハ捕獲リング部材をさらに含み、前記ウエハ捕獲リング部材は前記セラミック化合物と前記3B金属酸化物とを含んだ前記セラミック組成物を含む請求項1に記載のプラズマ反応装置。
  3. 前記セラミック組成物は、大きい割合の前記セラミック化合物と小さい割合の前記3B属金属酸化物とを含む請求項1に記載のプラズマ反応装置。
  4. 前記セラミック化合物は、炭化ケイ素、窒化ケイ素、炭化ホウ素、窒化ホウ素、窒化アルミニウム、酸化アルミニウム、及びそれらの混合物からなるグループから選ばれている請求項1に記載のプラズマ反応装置。
  5. 前記セラミック組成物は、約30重量%乃至約95重量%の前記セラミック化合物と、約5重量%乃至約70重量%の前記3B属金属酸化物とを含む請求項1に記載のプラズマ反応装置。
  6. 前記セラミック化合物が本質的に酸化アルミニウムからなり、前記3B属金属酸化物が本質的に酸化イットリウムからなる請求項1に記載のプラズマ反応装置。
  7. 前記反応室内に配置されウエハ捕獲リング部材と切離し可能に係合する収束リング部材をさらに含み、前記収束リング部材は前記セラミック化合物と前記3B属金属酸化物とを含んだ前記セラミック組成物を含む請求項2に記載のプラズマ反応装置。
  8. 前記セラミック化合物は、炭化ケイ素、窒化ケイ素、炭化ホウ素、窒化ホウ素、窒化アルミニウム、酸化アルミニウム、及びそれらの混合物からなるグループから選ばれている請求項3に記載のプラズマ反応装置。
  9. 前記セラミック化合物が本質的に酸化アルミニウムからなり、前記3B属金属酸化物が本質的に酸化イットリウムからなる請求項3に記載のプラズマ反応装置。
  10. 前記セラミック化合物が本質的に酸化アルミニウムからなり、前記3B属金属酸化物が本質的に酸化イットリウムからなる請求項5に記載のプラズマ反応装置。
  11. 前記誘電体窓は、大きい割合の前記セラミック化合物と小さい割合の前記3B属金属酸化物とを含む請求項7に記載のプラズマ反応装置。
  12. 前記セラミック化合物は、炭化ケイ素、窒化ケイ素、炭化ホウ素、窒化ホウ素、窒化アルミニウム、酸化アルミニウム、及びそれらの混合物からなるグループから選ばれている請求項11に記載のプラズマ反応装置。
  13. 半導体ウエハを処理するための誘導結合RFプラズマにおいて、
    室壁、及び前記室壁により支持され第1セラミック化合物と第1の3B属金属酸化物とを含んだ第1セラミック組成物を含んだドーム形状天井を有する反応室と、
    前記反応室内で半導体ウエハを支持するために前記反応室内に配置されたウエハ・ペデスタル集合体と、
    誘導結合RFパワー源と、
    前記反応室内に処理気体を導入するために前記反応室と係合した手段と、
    前記反応室近傍に配置され、そして前記誘導結合RFパワー源に接続されたコイル誘導体と、
    前記ウエハ・ペデスタル集合体に接続されたバイアスRF源と、
    を含む誘導結合RFプラズマ。
  14. 前記反応室内に配された処理気体の高密度プラズマをさらに含む請求項13に記載の誘導結合RFプラズマ反応装置。
  15. 前記ウエハ・ペデスタル集合体により支持されたウエハ捕獲リング部材をさらに含み、前記ウエハ捕獲リング部材が第2セラミック化合物及び第2の3B属金属酸化物を含んだ第2セラミック組成物を含む請求項13に記載の誘導結合RFプラズマ反応装置。
  16. 前記第1セラミック組成物が、大きい割合の前記第1セラミック化合物及び小さな割合の前記第1の3B属金属酸化物を含む請求項13に記載の誘導結合RFプラズマ反応装置。
  17. 前記第1セラミック化合物は、炭化ケイ素、窒化ケイ素、炭化ホウ素、窒化ホウ素、窒化アルミニウム、酸化アルミニウム、及びそれらの混合物からなるグループから選ばれている請求項13に記載の誘導結合RFプラズマ反応装置。
  18. 前記第1セラミック化合物が本質的に酸化アルミニウムからなり、前記第1の3B属金属酸化物が本質的に酸化イットリウムからなる請求項13に記載の誘導結合RFプラズマ反応装置。
  19. 前記ドーム形状天井を介して送るRF処理パワーをさらに含む請求項14に記載の誘導結合RFプラズマ反応装置。
  20. 前記反応室内に配置され、そしてウエハ捕獲リング部材に切離し可能に係合した収束リング部材をさらに含み、前記収束リング部材は第3セラミック化合物及び第3の3B属金属酸化物を含む第3セラミック組成物を含む請求項15に記載の誘導結合RFプラズマ反応装置。
  21. 前記第1セラミック組成物が、大きい割合の前記第1セラミック化合物及び小さな割合の前記第1の3B属金属酸化物を含む請求項20に記載の誘導結合RFプラズマ反応装置。
  22. 前記第2セラミック化合物は、炭化ケイ素、窒化ケイ素、炭化ホウ素、窒化ホウ素、窒化アルミニウム、酸化アルミニウム、及びそれらの混合物からなるグループから選ばれている請求項20に記載の誘導結合RFプラズマ反応装置。
  23. 前記第2セラミック化合物が本質的に酸化アルミニウムからなり、前記第2の3B属金属酸化物が本質的に酸化イットリウムからなる請求項20に記載の誘導結合RFプラズマ反応装置。
  24. 半導体基板を処理するための処理キットであって、セラミック化合物及び3B属金属酸化物を含むセラミック組成物を含んだ第1リング部材を含む処理キット。
  25. 前記第1リング部材に切離し可能に係合し、前記セラミック化合物及び前記3B属金属酸化物を含む前記セラミック組成物を含んだ第2リング部材をさらに含む請求項24に記載の処理キット。
  26. 前記セラミック化合物が本質的に酸化アルミニウムからなり、前記3B属金属酸化物が本質的に酸化イットリウムからなる請求項25に記載の処理キット。
  27. 処理気体の高密度プラズマ内で基板を処理する間に高い耐腐食性を有する誘電体部材であって、セラミック化合物と3B属金属酸化物とを含むセラミック組成物を有する誘電体部材。
  28. 前記セラミック組成物を介して送られる処理パワーをさらに含む請求項27に記載の誘電体部材。
  29. 前記セラミック化合物が本質的に酸化アルミニウムからなり、前記3B属金属酸化物が本質的に酸化イットリウムからなる請求項27に記載の誘電体部材。
  30. プラズマ処理気体を含む室内で少なくとも1つの基板を処理するための方法であって、
    (a)室壁を有し少なくとも1つの基板と少なくとも1つの基板を処理するためのプラズマ処理気体を含んだ室を提供し,セラミック化合物と3B族金属酸化物とを含んだセラミック組成物を有しそして前記室壁に係合した誘電体部材を含み、
    (b)プラズマ処理気体内で少なくとも1つの基板を処理するためにステップ(a)の室内に誘電体部材を介して処理パワーを供給する
    各ステップを含む方法。
  31. 基板上の金属層をエッチングする方法であって、
    (a)金属層を支持する基板を提供し、
    (b)室壁、及びセラミック化合物と3B金属酸化物を含んだセラミック組成物を含みそして室壁により支持された誘電体部材を含む室内に、前記基板を配置し、
    (c)ステップ(b)の室内に処理気体を導入し、
    (d)基板上の前記金属層を処理気体の高密度プラズマ内でエッチングするために誘電体部材を介してステップ(b)の室内に処理パワーを導入する
    各ステップを含む方法。
  32. セラミック化合物と、3B属金属酸化物と、結合剤と、を含んだセラミック組成物。
  33. 約30重量%乃至約95重量%の前記セラミック化合物と、約5重量%乃至約70重量%の前記3B属金属酸化物と、小さい割合の前記結合剤を含む請求項32に記載のセラミック組成物。
  34. 小さい割合の添加剤をさらに含む請求項33に記載の有機組成物。
JP2013223589A 1998-07-29 2013-10-28 基板を処理する方法及び装置及びそれらのためのセラミック組成物 Pending JP2014042060A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/124,323 US6123791A (en) 1998-07-29 1998-07-29 Ceramic composition for an apparatus and method for processing a substrate
US09/124,323 1998-07-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2009283326A Division JP2010141341A (ja) 1998-07-29 2009-12-14 基板を処理する方法及び装置及びそれらのためのセラミック組成物

Publications (1)

Publication Number Publication Date
JP2014042060A true JP2014042060A (ja) 2014-03-06

Family

ID=22414193

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2000562930A Pending JP2002521834A (ja) 1998-07-29 1999-07-26 基板を処理する方法及び装置及びそれらのためのセラミック組成物
JP2009283326A Pending JP2010141341A (ja) 1998-07-29 2009-12-14 基板を処理する方法及び装置及びそれらのためのセラミック組成物
JP2013223589A Pending JP2014042060A (ja) 1998-07-29 2013-10-28 基板を処理する方法及び装置及びそれらのためのセラミック組成物

Family Applications Before (2)

Application Number Title Priority Date Filing Date
JP2000562930A Pending JP2002521834A (ja) 1998-07-29 1999-07-26 基板を処理する方法及び装置及びそれらのためのセラミック組成物
JP2009283326A Pending JP2010141341A (ja) 1998-07-29 2009-12-14 基板を処理する方法及び装置及びそれらのためのセラミック組成物

Country Status (5)

Country Link
US (3) US6123791A (ja)
JP (3) JP2002521834A (ja)
KR (1) KR100729001B1 (ja)
TW (1) TWI237285B (ja)
WO (1) WO2000007216A1 (ja)

Families Citing this family (78)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6432256B1 (en) * 1999-02-25 2002-08-13 Applied Materials, Inc. Implanatation process for improving ceramic resistance to corrosion
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
DE19924134A1 (de) * 1999-05-26 2000-11-30 Bosch Gmbh Robert Verfahren zur Herstellung keramischer Grünkörper
KR20010062209A (ko) 1999-12-10 2001-07-07 히가시 데쓰로 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치
JP2002057207A (ja) * 2000-01-20 2002-02-22 Sumitomo Electric Ind Ltd 半導体製造装置用ウェハ保持体およびその製造方法ならびに半導体製造装置
US6514378B1 (en) 2000-03-31 2003-02-04 Lam Research Corporation Method for improving uniformity and reducing etch rate variation of etching polysilicon
US6444040B1 (en) * 2000-05-05 2002-09-03 Applied Materials Inc. Gas distribution plate
US6645585B2 (en) * 2000-05-30 2003-11-11 Kyocera Corporation Container for treating with corrosive-gas and plasma and method for manufacturing the same
JP4602532B2 (ja) * 2000-11-10 2010-12-22 東京エレクトロン株式会社 プラズマ処理装置
US6613442B2 (en) * 2000-12-29 2003-09-02 Lam Research Corporation Boron nitride/yttria composite components of semiconductor processing equipment and method of manufacturing thereof
US6620520B2 (en) * 2000-12-29 2003-09-16 Lam Research Corporation Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof
US6830622B2 (en) * 2001-03-30 2004-12-14 Lam Research Corporation Cerium oxide containing ceramic components and coatings in semiconductor processing equipment and methods of manufacture thereof
US7670688B2 (en) * 2001-06-25 2010-03-02 Applied Materials, Inc. Erosion-resistant components for plasma process chambers
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
US6942929B2 (en) 2002-01-08 2005-09-13 Nianci Han Process chamber having component with yttrium-aluminum coating
US20080264564A1 (en) * 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20080213496A1 (en) * 2002-02-14 2008-09-04 Applied Materials, Inc. Method of coating semiconductor processing apparatus with protective yttrium-containing coatings
US8067067B2 (en) * 2002-02-14 2011-11-29 Applied Materials, Inc. Clean, dense yttrium oxide coating protecting semiconductor processing apparatus
US7479304B2 (en) * 2002-02-14 2009-01-20 Applied Materials, Inc. Gas distribution plate fabricated from a solid yttrium oxide-comprising substrate
US6789498B2 (en) 2002-02-27 2004-09-14 Applied Materials, Inc. Elements having erosion resistance
US6780787B2 (en) * 2002-03-21 2004-08-24 Lam Research Corporation Low contamination components for semiconductor processing apparatus and methods for making components
US6620253B1 (en) * 2002-04-11 2003-09-16 Micron Technology, Inc. Engagement mechanism for semiconductor substrate deposition process kit hardware
US7311797B2 (en) * 2002-06-27 2007-12-25 Lam Research Corporation Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor
US6774073B2 (en) 2002-07-29 2004-08-10 Coorstek, Inc. Graphite loaded silicon carbide and methods for making
US7204912B2 (en) 2002-09-30 2007-04-17 Tokyo Electron Limited Method and apparatus for an improved bellows shield in a plasma processing system
US6798519B2 (en) 2002-09-30 2004-09-28 Tokyo Electron Limited Method and apparatus for an improved optical window deposition shield in a plasma processing system
US7137353B2 (en) 2002-09-30 2006-11-21 Tokyo Electron Limited Method and apparatus for an improved deposition shield in a plasma processing system
US7166200B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate in a plasma processing system
US7147749B2 (en) 2002-09-30 2006-12-12 Tokyo Electron Limited Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system
US6837966B2 (en) * 2002-09-30 2005-01-04 Tokyo Electron Limeted Method and apparatus for an improved baffle plate in a plasma processing system
US7166166B2 (en) 2002-09-30 2007-01-23 Tokyo Electron Limited Method and apparatus for an improved baffle plate in a plasma processing system
US7087537B2 (en) * 2004-03-15 2006-08-08 Sharp Laboratories Of America, Inc. Method for fabricating oxide thin films
US7780786B2 (en) 2002-11-28 2010-08-24 Tokyo Electron Limited Internal member of a plasma processing vessel
WO2004095530A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited Adjoining adjacent coatings on an element
WO2004095532A2 (en) 2003-03-31 2004-11-04 Tokyo Electron Limited A barrier layer for a processing element and a method of forming the same
US7297247B2 (en) * 2003-05-06 2007-11-20 Applied Materials, Inc. Electroformed sputtering target
US6953760B2 (en) * 2003-06-04 2005-10-11 Saint-Gobain Ceramics & Plastics, Inc. Ceramic component containing inclusions
US20050016684A1 (en) * 2003-07-25 2005-01-27 Applied Materials, Inc. Process kit for erosion resistance enhancement
US7220497B2 (en) 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20070181420A1 (en) * 2006-02-07 2007-08-09 Ming-Tung Wang Wafer stage having an encapsulated central pedestal plate
US7718559B2 (en) * 2007-04-20 2010-05-18 Applied Materials, Inc. Erosion resistance enhanced quartz used in plasma etch chamber
US7696117B2 (en) * 2007-04-27 2010-04-13 Applied Materials, Inc. Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
TWI351057B (en) 2007-04-27 2011-10-21 Applied Materials Inc Method and apparatus which reduce the erosion rate of surfaces exposed to halogen-containing plasmas
US10622194B2 (en) 2007-04-27 2020-04-14 Applied Materials, Inc. Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance
US10242888B2 (en) 2007-04-27 2019-03-26 Applied Materials, Inc. Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance
US8367227B2 (en) * 2007-08-02 2013-02-05 Applied Materials, Inc. Plasma-resistant ceramics with controlled electrical resistivity
US8900405B2 (en) * 2007-11-14 2014-12-02 Applied Materials, Inc. Plasma immersion ion implantation reactor with extended cathode process ring
JP2010174325A (ja) * 2009-01-29 2010-08-12 Kyocera Corp 放電用電極体、放電用電極アセンブリおよび放電処理装置
DE102010007552B4 (de) * 2010-02-11 2014-07-10 Xf Technologies B.V. Vegetationselement zur Begrünung von künstlichen nicht erdgebundenen Flächen mit niederen und/oder höheren Pflanzen sowie Verfahren zur Herstellung des Vegetationselements
US9443753B2 (en) * 2010-07-30 2016-09-13 Applied Materials, Inc. Apparatus for controlling the flow of a gas in a process chamber
US9129778B2 (en) 2011-03-18 2015-09-08 Lam Research Corporation Fluid distribution members and/or assemblies
WO2014038667A1 (ja) * 2012-09-06 2014-03-13 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び記録媒体
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
CN103903948B (zh) * 2012-12-27 2017-06-13 中微半导体设备(上海)有限公司 改善晶片边缘蚀刻速率均匀性的聚焦环
CN105088141A (zh) * 2014-05-23 2015-11-25 中微半导体设备(上海)有限公司 电感耦合型等离子体处理腔室及其抗腐蚀绝缘窗口及制造方法
US20160358749A1 (en) * 2015-06-04 2016-12-08 Lam Research Corporation Plasma etching device with plasma etch resistant coating
US20170018408A1 (en) * 2015-07-15 2017-01-19 Lam Research Corporation Use of sintered nanograined yttrium-based ceramics as etch chamber components
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
KR20200019068A (ko) * 2018-08-13 2020-02-21 에스케이씨솔믹스 주식회사 탄화붕소 소결체 및 이를 포함하는 식각장치
US20200051793A1 (en) * 2018-08-13 2020-02-13 Skc Solmics Co., Ltd. Ring-shaped element for etcher and method for etching substrate using the same
US20200062654A1 (en) * 2018-08-13 2020-02-27 Skc Solmics Co., Ltd. Boron carbide sintered body and etcher including the same
US20220204410A1 (en) 2019-04-05 2022-06-30 Heraeus Conamic North America Llc Controlled porosity yttrium oxide for etch applications
CN114746377A (zh) 2019-11-18 2022-07-12 贺利氏科纳米北美有限责任公司 耐等离子体的钇铝氧化物体
KR102266986B1 (ko) * 2020-02-12 2021-06-21 에스케이씨솔믹스 주식회사 포커스링, 포커스링의 제조방법 및 반도체소자의 제조방법
KR20230079382A (ko) 2020-10-03 2023-06-07 헤레우스 코나믹 노스 아메리카 엘엘씨 큰 치수의 소결 세라믹체의 제조를 위한 장치
KR20230062621A (ko) 2020-10-03 2023-05-09 헤레우스 코나믹 노스 아메리카 엘엘씨 큰 치수의 산화이트륨 소결체
CN116096515A (zh) 2020-10-03 2023-05-09 贺利氏科纳米北美有限责任公司 具有大尺寸的烧结陶瓷体及其制造方法
WO2022081700A1 (en) 2020-10-15 2022-04-21 Heraeus Conamic North America Llc Multilayer sintered ceramic body and method of making
KR20230107853A (ko) 2020-12-18 2023-07-18 헤레우스 코나믹 노스 아메리카 엘엘씨 플라즈마 저항성 이트륨 알루미늄 산화물 챔버 구성요소
WO2022133180A1 (en) 2020-12-18 2022-06-23 Heraeus Conamic North America Llc Multilayer sintered ceramic body
WO2023283536A1 (en) 2021-07-07 2023-01-12 Heraeus Conamic North America Llc Yttria-zirconia sintered ceramics for plasma resistant materials
CN117836254A (zh) 2021-09-10 2024-04-05 贺利氏科纳米北美有限责任公司 用于半导体加工室中的包含yag的uv活化红色陶瓷体
WO2023122597A1 (en) 2021-12-23 2023-06-29 Heraeus Conamic North America Llc Multilayer sintered ceramic body and method of making
EP4215360A1 (en) 2022-01-24 2023-07-26 Heraeus Conamic North America LLC Multilayer sintered ceramic body and method of making
TW202340123A (zh) 2022-03-31 2023-10-16 美商賀利氏科納米北美有限責任公司 陶瓷之高頻率拋光
EP4269024A1 (en) 2022-04-29 2023-11-01 Heraeus Conamic North America LLC High frequency polishing of ceramics
TW202404925A (zh) 2022-07-21 2024-02-01 美商賀利氏科納米北美有限責任公司 用於燒結實質上不含未反應的氧化釔及富含釔相之大直徑yag層之程序

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05140771A (ja) * 1991-11-15 1993-06-08 Nissin Electric Co Ltd エツチング装置
JPH0813169A (ja) * 1994-04-26 1996-01-16 Tokyo Electron Ltd プラズマ処理装置
JPH09129397A (ja) * 1995-10-26 1997-05-16 Applied Materials Inc 表面処理装置
JPH1045467A (ja) * 1996-07-31 1998-02-17 Kyocera Corp 耐食性部材
WO1998014980A1 (en) * 1996-09-30 1998-04-09 Lam Research Corporation Particle controlling method and plasma processing chamber
JPH10150020A (ja) * 1996-11-19 1998-06-02 Sumitomo Metal Ind Ltd プラズマ処理装置およびプラズマ処理方法

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4244743A (en) * 1979-04-23 1981-01-13 United Technologies Corporation Sulfur containing refractory for resisting reactive molten metals
DE3543802A1 (de) * 1985-12-12 1987-06-19 Bbc Brown Boveri & Cie Hochtemperatur-schutzschicht und verfahren zu ihrer herstellung
JPH04280976A (ja) * 1991-03-06 1992-10-06 Canon Inc 改良されたマイクロ波導入窓を有するマイクロ波プラズマcvd装置
US5366585A (en) 1993-01-28 1994-11-22 Applied Materials, Inc. Method and apparatus for protection of conductive surfaces in a plasma processing reactor
US5498313A (en) * 1993-08-20 1996-03-12 International Business Machines Corp. Symmetrical etching ring with gas control
US5798016A (en) 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
US5680013A (en) * 1994-03-15 1997-10-21 Applied Materials, Inc. Ceramic protection for heated metal surfaces of plasma processing chamber exposed to chemically aggressive gaseous environment therein and method of protecting such heated metal surfaces
DE9421671U1 (de) * 1994-08-26 1996-07-11 Siemens Ag Entladungskammer für eine Plasmaätzanlage in der Halbleiterfertigung
US5753044A (en) * 1995-02-15 1998-05-19 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
JP3362552B2 (ja) * 1995-03-10 2003-01-07 東京エレクトロン株式会社 成膜処理装置
JPH08293539A (ja) * 1995-04-21 1996-11-05 Hitachi Ltd 半導体製造方法および装置
JP3102318B2 (ja) * 1995-10-06 2000-10-23 住友金属工業株式会社 アルミナ製マイクロ波導入窓の製造方法
JP3568006B2 (ja) * 1996-03-28 2004-09-22 三菱マテリアル株式会社 半導体製造装置用の窒化アルミニウム基材及びその製造方法
JP3623054B2 (ja) * 1996-08-28 2005-02-23 京セラ株式会社 プラズマプロセス装置用部材
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05140771A (ja) * 1991-11-15 1993-06-08 Nissin Electric Co Ltd エツチング装置
JPH0813169A (ja) * 1994-04-26 1996-01-16 Tokyo Electron Ltd プラズマ処理装置
JPH09129397A (ja) * 1995-10-26 1997-05-16 Applied Materials Inc 表面処理装置
JPH1045467A (ja) * 1996-07-31 1998-02-17 Kyocera Corp 耐食性部材
WO1998014980A1 (en) * 1996-09-30 1998-04-09 Lam Research Corporation Particle controlling method and plasma processing chamber
JPH10150020A (ja) * 1996-11-19 1998-06-02 Sumitomo Metal Ind Ltd プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
TWI237285B (en) 2005-08-01
US6123791A (en) 2000-09-26
KR20010072092A (ko) 2001-07-31
JP2002521834A (ja) 2002-07-16
US6641697B2 (en) 2003-11-04
US20020100554A1 (en) 2002-08-01
KR100729001B1 (ko) 2007-06-14
JP2010141341A (ja) 2010-06-24
US6352611B1 (en) 2002-03-05
WO2000007216A1 (en) 2000-02-10

Similar Documents

Publication Publication Date Title
JP2014042060A (ja) 基板を処理する方法及び装置及びそれらのためのセラミック組成物
CN100545304C (zh) 用于半导体处理设备的陶瓷件
KR102095159B1 (ko) 반도체 소자를 제조하는 장비에 사용되는 세라믹 부품 및 그 제조 방법
US8622021B2 (en) High lifetime consumable silicon nitride-silicon dioxide plasma processing components
US8293335B2 (en) Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
KR100295146B1 (ko) 반도체 지지 장치
JPH10236871A (ja) 耐プラズマ部材
US20110129684A1 (en) Yttria sintered body and component used for plasma processing apparatus
CN116018329A (zh) 包含铝酸镁尖晶石的陶瓷烧结体
JP3555442B2 (ja) プラズマ耐食性に優れたアルミナセラミックス材料およびその製造方法
JP2002068838A (ja) 耐プラズマ性部材およびその製造方法
JPH11209182A (ja) プラズマ耐食部材
KR20100088479A (ko) 질화알루미늄 소재 및 그 제조방법
JP2002293630A (ja) 耐プラズマ性部材およびその製造方法
JP4346899B2 (ja) 静電チャックの製造方法
JP2001233676A (ja) プラズマ耐食部材及びその製造方法
JP2001240461A (ja) アルミナ質耐食部材及びプラズマ装置
JP2000247728A (ja) 耐食性に優れたアルミナセラミックス焼結体
JP2005532246A (ja) 酸化アルミニウムセラミック構成要素および方法
JPH11278919A (ja) 耐プラズマ部材
JP4651148B2 (ja) 耐プラズマ部材及びプラズマ装置
JP2003146755A (ja) 耐プラズマ性部材、その製造方法、及び半導体製造装置
JP2009203113A (ja) プラズマ処理装置用セラミックス
JP2003095735A (ja) 耐プラズマ性部材及びその製造方法
JP2008239459A (ja) イットリウム・アルミニウム・ガーネット系複合材

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20131127

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20131127

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20140926

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20141006

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20150105

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20150406

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20151026