KR20010042798A - 반도체 공정을 위한 개선된 엔드포인트 검출 방법 및 장치 - Google Patents

반도체 공정을 위한 개선된 엔드포인트 검출 방법 및 장치 Download PDF

Info

Publication number
KR20010042798A
KR20010042798A KR1020007011542A KR20007011542A KR20010042798A KR 20010042798 A KR20010042798 A KR 20010042798A KR 1020007011542 A KR1020007011542 A KR 1020007011542A KR 20007011542 A KR20007011542 A KR 20007011542A KR 20010042798 A KR20010042798 A KR 20010042798A
Authority
KR
South Korea
Prior art keywords
layer
etching
substrate
gas
processing
Prior art date
Application number
KR1020007011542A
Other languages
English (en)
Inventor
마이클 엔. 그림버겐
토스텐 비. 릴
Original Assignee
조셉 제이. 스위니
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 조셉 제이. 스위니, 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 조셉 제이. 스위니
Publication of KR20010042798A publication Critical patent/KR20010042798A/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01BMEASURING LENGTH, THICKNESS OR SIMILAR LINEAR DIMENSIONS; MEASURING ANGLES; MEASURING AREAS; MEASURING IRREGULARITIES OF SURFACES OR CONTOURS
    • G01B11/00Measuring arrangements characterised by the use of optical techniques
    • G01B11/02Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness
    • G01B11/06Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material
    • G01B11/0616Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating
    • G01B11/0683Measuring arrangements characterised by the use of optical techniques for measuring length, width or thickness for measuring thickness ; e.g. of sheet material of coating measurement during deposition or removal of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Drying Of Semiconductors (AREA)
  • Length Measuring Devices By Optical Means (AREA)
  • Testing Or Measuring Of Semiconductors Or The Like (AREA)

Abstract

본 발명의 처리 챔버(42)의 기판(20)은 기판(20)에서 층(30)을 처리하기에 적당한 처리 조건에서 처리되며, 상기 처리 조건은 하나 이상의 처리 가스 조성과 흐름율, 처리 가스 에너자이저의 전력 레벨, 처리 가스 압력, 및 기판 온도를 포함한다. 기판(20)이 층(30)으로부터 반사된 반사광빔(78)의 강도는 측정된 파형 패턴을 결정하기 위해 시간에 대해 측정된다. 측정된 파형 패턴은 소정의 특성 파형 패턴과 비교되며, 두개의 파형 패턴이 유사하거나 실질적으로 동일할 때, 처리 조건은 전체층(30)이 완전히 처리되기 전에 기판(20)에서 층(30)의 처리율 또는 처리 선택성 비율을 변화시키도록 변화된다.

Description

반도체 공정을 위한 개선된 엔드포인트 검출 방법 및 장치 {IMPROVED ENDPOINT DETECTION FOR SEMICONDUCTOR PROCESSES}
집적회로 제조시에, 반도체, 유전체, 및 예를 들어 폴리실리콘, 실리콘 이산화물과 같은 도체 물질, 및 알루미늄층은 기판상에 증착되어 게이트, 비아, 콘택홀, 또는 배선의 패턴 형태로 에칭된다. 일반적으로 층들은 화학적 기상 장측법, 물리적 기상 증착법, 또는 열산화 공정에 의해 증착된다. 에칭 공정시에, 포토레지스트의 패턴화된 마스크층 또는 하드 마스크가 포토리소그라픽 방법에 의해 증착된 층 상에 형성되고, 층의 노출부는 Cl2, HBr 및 BCl3와 같은 에너지화된 할로겐 가스에 의해 에칭되며,이방성의 에칭을 제공하기 위해 에칭된 픽춰(featue)의 측벽상에 보호 증착물을 제공하는데 사용되는, N2와 같은 보호 가스를 종종 포함한다.
증착 및 에칭 공정에서 사용되는 처리 챔버는 벽, 부품 및 챔버 내면에 형성되는 잔류 증착물과 오염물을 제거하기 위해 주기적으로 세척되고, 그렇지 않으면 증착물들로 기판이 벗겨지게 되고 오염되게 된다. 에칭 공정에서, 매번 100 내지 300개의 웨이퍼를 에칭한 후에, 챔버는 대기중에 노출되도록 개방되어 작업자는 챔버 벽상에 남아 있는 축적된 에칭 잔류물을 없애거나 또는 분해시키기 위해서 산 또는 용매를 사용하는 "습식-세정" 처리로 세정된다. 세정 후에, 챔버는 휘발성 종의 기체를 없애기 위해서 2 내지 3 시간 동안 진공으로 펌프다운되어, 챔버가 일정한 에칭 특성을 제공할 때까지 웨이퍼 더미 상에 수행되는 일련의 에칭 공정이 행해진다. 경쟁중인 반도체 산업에 있어서, 세정 공정동안 에칭 챔버의 정지시간은 기판 비용을 증가시킬 수 있어 바람직하지 못하다. 또한, 습식 에칭 공정은 수동식으로 행해지기 때문에, 챔버 표면의 청결도가 하나의 세정 세션(session)에서 다른 것으로 변할 수 있다. 따라서, 챔버 안쪽 표면상에 형성되는 잔류 증착물을 감소시키거나 제거하는 반도체 처리공정을 행하는 것이 바람직하다.
종래의 에칭 공정이 갖는 또다른 문제점은 에칭 공정이 얇은층은 제어불가능하게 에칭될 수 있기 때문에 기판상에 있는 박층을 에칭하기 어렵고 하층이 손상될 수 있다 것이다. 특히 실리콘 산화물(게이트 산화물) 유전체층은, 유전체층의 나머지 두께를 공칭값에 가깝게 하고 에칭 공정이 하층 폴리실리콘 또는 실리콘에 손상이 가지 않게 하는 것이 바람직하다. 게이트 산화물층은 고속의 집적회로일수록 더 얇아져, 게이트 산화물층으로 오버에칭되지 않게 위에 놓인 폴리실리콘층을 정확하게 에칭하기가 어렵고, 특히 할로겐 및 플루오르를 함유한 가스(높은 에칭 비율을 갖는 폴리실리콘을 에칭함)를 사용할 경우 더 어렵다. 폴리실리콘은 플라즈마 이온을 활성화 시키기 위해 노출됨에 따라 전하 손상 및 격자 구조가 손상될 수 있기 때문에 게이트 산화층상에서 에칭 공정을 중단시키는 것이 바람직하다.
엔드포인트 검출 방법은 상부층들이 에칭되기 전에 에칭 공정을 중단시킴으로써 상부층이 에칭되는 것을 방지하도록 에칭 공정의 엔드포인트를 측정하는데 사용된다. 엔드포인트 측정 기술은 예를 들어, 본 명세서에서 참조하고 있는 미국 특허 제 4,328,068호에 개시된, 에칭되는 층의 화학적 조성 변화에 상응하는 화학적 조성 변화를 결정하기 위해 챔버에서 플라즈마의 방출 스펙트럼들을 분석하는 플라즈마 방출 분석법을 포함한다. 그러나, 플라즈마 방출 방법은 상기 방법이 방출 스펙트럼에서의 변화를 얻기 위해 하부층에서의 화학적 조성 변화에 의존하기 때문에 특정한 화학 조성을 갖는 상부층을 에칭한후에만 에칭 엔드포인트를 탐지한다. 또한, 방출 모니터링 윈도우 상에 형성된 잔류 증착물들은 광학 방출 스펙트럼,을 차단하거나 또는 선택적으로 여과시켜, 엔트포인트 검출 에러를 야기시킨다. 또한, 플라즈마 방출 방법의 민감도(sensitivity)는 에칭되는 전체 영역과 에칭 비율의 함수이며 에칭 공정이 느리고 에칭 영역이 작은 경우, 특히 작은 콘택 개구부를 에칭하는 경우에는 검출이 어렵다.
엔드포인트 검출 시스템은 전체 층의 공정을 완성하기 전에 공정 엔드포인트를 측정하는 엘리솝미터리(ellipsometry) 측정법이다. 상기 방법에서, 본 명세서에서 참조로하는 미국 특허 3,874,797 및 3, 824,017에 개시된 예처럼, 편광된 광 빔은 에칭되는 층의 표면 밖으로 반사되어 층에서 에칭되어 발생하는 반사된 광의 상 변화(phase shift) 및 크기 변화를 결정하도록 분석한다. 편광 광 필터는 기판 표면에서 반사되는 편광된 광 빔의 상 변화를 측정하는데 사용된다. 그러나, 엘리솝미터리 측정법은 에칭 공정에서의 변화를 모니터하도록 반사된 파장의 크기(△) 및 상(_) 모두를 측정해야 하기 때문에 보다 더 복잡하다. 예로써, 1997년 1월/2월, J. Vac.Sci. Technol.B. 15(1)에 Maynard layadi 및 TsengChung Li에 의해 "Muiltiwavelength Ellipsometry for Real-Time Process Control of the Plasma Etching of Patterned Samples"에 복수 파장을 갖는 광 빔만이 정확한 층 두께 측정법을 부여하는 것으로 결론지어진 것처럼 패턴화된 웨이퍼 표면의 정확한 엘리솝미터리 판독을 얻는 것은 어렵다. 또한, 챔버의 투명 윈도우에 형성된 나머지 증착물들은 윈도우를 통과하는 광 빔의 편광을 변화시켜, 엘리솝미터 엔드포인트 측정법에서의 잘못된 측정을 발생시킬 수 있다.
종래 기술의 엔드포인트 검출 방법에서의 또다른 문제점은 처리 엔드포인트가 처리 신호로부터 수학적으로 결정된다는 방법에서 야기된다. 일반적으로, 측정된 광 신호의 시간 미분은 기준 시간과 비교되며, 2개 신호의 미분 변화는 이전 신호 상태를 기준으로 측정할 수 있는 다른 신호 상태를 결정하도록 컴퓨터처리된다. 그러나, 2개 신호의 미분 변화를 켬퓨터처리하기 전에 또는 다른 수학적 상태를 인식하기 전에 유한 시간을 지나야 하며, 이는 특히 얇은 하부층이 예를 들어, 호전성의(aggressive) 에천트 가스 화학작용에 의해 에칭될 수 잇는 시간 지연을 야기시킨다. 또한 시간 지연은 하부층, 특히 하부 폴리실리콘층의 원치 않는 손실 또는 격자 손상을 야기시킬 수 있다. 또한, 선택된 미분 신호 변화가 너무 작으면, 제조 공정은 결코 종결되지 않을 수 있고, 미분 신호 변화가 너무 크면, 공정은 조기에 종결될 수 있다.
따라서, 기판상에서 처리되는 층의 바람직한 두께가 달성되자 마자 반도체 공정을 종결하여, 하부층을 손상시키지 않는 엔드포인트 검출 방법을 갖는 것이 바람직하다. 또한 공정을 완성하기 전에 변화되는 에칭 또는 증착 공정을 허용하도록 전체층의 에칭 또는 증착전에 신호를 제공하는 엔드포인트 검출 시스템을 갖는 것이 바람직하다. 또한, 기판으로부터, 즉 챔버 윈도우를 통해 전송되는 광 신호의 세기와 무관하게 고분해능, 노이즈 비율의 낮은 신호, 및 고신뢰성을 갖게 처리되는 층 두께 변화를 측정하기 위한 엔드포인트 측정 시스템을 갖는 것이 바람직하다. 또한, 상부층을 신속하게 에칭하면서, 차후에 챔버속 웨이퍼의 다중 배치 처리후에도, 챔버 표면상에 어떠한 증착물도 발생시키지 않는 반도체 에칭 공정을 행하는 것이 바람직하다.
본 발명은 기판을 처리하고 기판에서 행해지는 처리과정의 엔드포인트를 검출하는 방법 및 장치에 관한 것이다.
도 1a 및 1b는 본 발명에 따른 공정에 의해 에칭되는 전형적인 기판 구조의 개략적 단면도.
도 2는 본 발명에 따른 반도체 장치 및 엔드포인트 검출 시스템의 개략적 단면도.
도 3a는 기판 처리 과정의 특징적인 파형 패턴을 결정하는데 사용되는 처리 단계의 순서도.
도 4a 내지 4c는 기판상의 두꺼운 층에 흡수되고(또는 상부 표면에서 반사된) 부분적으로 처리되거나 에칭된 얇은 층의 상부 및 하부 표면에서 반사된 파장을 갖는 입사 광 빔의 개략도.
도 5는 Hg 램프의 방출 스펙트럼들의 상이한 파장에서의 피크를 나타내는 기판상의 층으로부터 반사된 광 빔의 피크 세기의 그래프.
도 6은 기판상의 층을 에칭하는 동안 상이한 파장에서 반사된 광 빔의 파형 스펙트럼을 나타내는 그래프.
도 7a 및 7b는 기판상에서 2개의 상이한 구성을 위해 선택된 특정 파형 스펙트럼을 나타내는 도면.
본 발명은 에칭, CVD, 또는 PVD 공정과 같은 반도체 제조 공정에서 기판상의 층 처리가 종결되기 전에, 높은 정확도와 반복성을 갖는 엔드포인트를 검출하는 엔드포인트 측정 방법을 제공한다. 본 발명에 따른 제 1 공정에서는, 기판상에 있는 층을 처리하기에 적합한 공정 조건에서 공정 챔버내의 기판을 처리하며, 상기 공정 조건으로는 1개 이상의 가스 조성 및 흐름 비율, 가스 에너자이저의 작동 전력 레벨, 가스 압력 및 기판 온도를 포함한다. 기판을 처리하는 동안, 기판상에서 처리되는 층에서 반사된 광 빔의 세기는 측정 파형 패턴을 얻기 위해 또는 결정하기 위한 시간을 지나 측정된다. 측정 파형 패턴은 미리 결정된 특정 파형 패턴과 비교되고, 2개의 파형 패턴이 거의 동일한 경우, 공정 조건은 전체 층이 완전히 처리되기 전에, 기판상에서의 층을 처리하는 비율 또는 선택적 처리 비율을 변화시키도록 변경된다.
바람직하게, 초기 실험은 제 1 두께에서 층의 상부 표면으로부터 실질적으로 흡수 및/또는 반사되며, 전형적으로 제 1 두께보다 작은 층의 제 2 두께를 가진 하부 및 상부 표면으로부터 부분적으로 반사되는 입사광 빔의 파장을 선택 및 결정하도록 실행된다. 이 후, 하나 이상의 테스트 기판이 처리되며, 각 처리 시퀀스 동안,선택된 파장을 가지는 입사광 빔은 기판상의 층으로 유도되며, 반사광 빔의 강도는 반사광의 일련의 파형 스펙트라를 얻기위해 시간에 대해 측정된다. 파형 스펙트라로부터, 고도로 반복가능하며 특정의 처리 단계에서 얻어지는 특성 파형 패턴은 생성 단계에 사용되기 위해 선택된다. 바람직하게, 특성 파형 패턴은 반사 파형 패턴의 층 처리 단계의 완료에 해당하는 터미널 피크 또는 딥 바로 이전에 발생하는 반복가능한 파형 오실레이션을 포함한다.
본 발명에 따라 형성된 에칭 처리시, 기판상의 층은 하부층을 에칭하거나 손상시키지 않으면서 실질적으로 에칭된다. 기판은 처리 영역으로 위치되며, 제 1 처리 조건은 처리 영역에서 유지되고, 상기 제 1 처리 조건은 기판상의 층을 에칭하기 위해 처리 영역에 에너자이징된 처리 가스를 제공하는 것을 포함한다. 입사광 빔은 충분한 두께의 층이 에칭된후 반사광 빔을 형성하기 위해 기판상의 층으로 유도된다. 반사광 빔의 강도는 층의 상부 표면으로부터 반사된 제 1 광 빔 성분 및 층의 하부 표면으로부터 반사된 제 2 광 빔 성분의 유리한 또는 불리한 간섭의 합이다. 반사광 빔의 강도는 측정된 파형 패턴을 얻기위해 시간에 대해 측정되며, 이 파형 패턴은 에칭 단계의 소정의 엔드포인트를 결정하기 위해 소정의 특성 파형 패턴과 비교된다. 에칭 엔드포인트에서, 제 1 처리 조건은 전체 층이 완전히 에칭되기 전에 기판상의 층의 에칭 비율을 변화시키거나 하부층에 대해 에칭 선택성 비율을 변화시키기 위해 제 2 처리 조건을 변화시킨다.
바람직하게, 제 1 처리 조건은 기판을 에칭하는 에칭 가스(따라서 챔버 표면에 에칭 잔여물을 증착한다), 및 챔버 표면에 증착된 에칭 잔여물을 클리닝하는 클리닝 가스를 가지는 제 1 처리 가스를 포함한다. 바람직하게, 에칭 가스로의 용적 흐름율은 증착된 에칭 잔여물이 에칭 단계의 종료시에 챔버 표면으로부터 제거되도록 선택된다. 제 2 처리 조건은 기판에 보다 제어된 에칭 비율을 제공하기 위해 클리닝 가스가 실질적으로 결여된 에너자이징된 처리 가스를 제공한다. 바람직하게, 에칭 가스는 하나 이상의 Cl2, N2, O2, HBr, 또는 He-O2를 포함하며; 클리닝 가스는 하나 이상의 NF3, CF4, 또는 SF6를 포함한다. 보다 바람직하게는, 에칭 가스에 대한 클리닝 가스의 용적 흐름율은 약 1:20에서 약 1:1이 된다.
특정의 유용한 측면에서는, 본 발명은 기판에 단일 실리콘 또는 실리콘 화합물을 포함하는 실리콘 함유층을 에칭하는 방법을 포함한다. 제 1 에칭 단계에서, 에너자이징된 처리 가스가 기판에 실리콘 함유층을 에칭하며 동시에 챔버 표면에 형성된 에칭 잔여물을 클리닝하기 위해 에칭 챔버에 제공되며, 에칭 가스를 포함하는 에너자이징된 처리 가스는 Cl2, N2, O2, HBr, 및 He-O2로 구성된 그룹으로부터 선택된 에칭 가스와 NF3, CF4, 및 SF6로 구성된 그룹으로부터 선택된 챔버 클리닝 가스를 포함한다. 챔버 클리닝 가스에 대한 에칭 가스의 용적 흐름율은 에칭 단계의 종료시에 챔버 표면에 형성된 실질적으로 모든 에칭 잔여물을 제거하도록 선택된다. 제 1 단계에서, 기판상의 층으로부터 반사된 광 빔의 강도는 소정의 특성 파형 패턴과 비교되는 측정된 파형 패턴을 결정하도록 측정되며 처리 조건은 측정된 파형 패턴이 소정의 특성 파형 패턴과 실질적으로 동일할 때 제 1 단계로부터 제 2 처리 단계로 변화된다. 제 2 단계에서, 다른 에너자이징된 처리 가스는 기판상의 실리콘 함유층의 잔여 부분을 에칭하기 위해 에칭 챔버에 제공되며, 상기 에너자이징된 처리 가스는 기판에서 하부층에 대한 에칭 선택성을 증가시키거나 층의 에칭 비율을 감소시키기에는 실질적으로 클리닝 가스가 부족하다.
본 발명의 엔드포인트 검출 시스템은 반도체 기판상에 집적회로를 제조하는데 유용하며 특히 반도체 기판, 유전체, 또는 실리콘 원소 또는 실리콘 혼합물을 함유하는 실리콘 함유 물질을 포함하는 도체층을 에칭하는데 유용하다. 반도체 및 유전체층은 하나위에 또다른 하나가 중첩되어 상부에 놓인 실리콘 함유층을 두껍게 에칭하고 하부에 놓인 실리콘 함유층을 얇게 에칭하지 않는 에칭 공정을 중단시키기 어렵다. 예를 들어, 유전체층은 실리콘 이산화물, 비도핑 실리케이트 글라스, 포스포실리케니트 글라스(PSG), 보로포스포실리케이트 글라스(BPSG), Si3N4, 또는 TEOS 증착 글라스를 포함하며; 반도체층은 예를 들어, 폴리실리콘 또는 실리콘을 포함한다. 따라서, 본 발명의 공정은 본 발명에서 참조로 하는, VLSI Technology, Second Edition, ChapterⅡ, by S.M. Sze, McGraw-Hill Publishing Company(1998)에 개시된 것과 같은 반도체 장치 제조시에 실리콘을 함유한 층들의 에칭에 관해 설명한다. 그러나, 본 발명은 화학적 기상 증착(CVD), 물리적 기상 증착(PVD), 및 증발법과 같은 다른 공정에 사용할 수 있으며, 본 명세서에서 제공된 예들로 한정되지 않는다.
도 1a 및 1b는 본 발명을 사용하여 에칭되는 기판(20)의 전형적 구조를 나타낸다. 기판(20)은 도핑된 실리콘 영역(26)을 둘러싸고 있는 실리콘 산화 장벽(24)이 잇는 실리콘 웨이퍼(22)를 포함한다. 약 10 내지 약 300Å의 두께를 갖는 얇은 실리콘 이산화물(게이트 산화물)층(28)이 기판상에 형성된다. 폴리실리콘층(30)과 TiN 비반사층(34)이 게이트 산화 실리콘층(28) 위로 증착된다. 포토레지스트 및/또는 경화(hard) 마스크(전형적으로 실리콘 산화물 또는 실리콘 질화물)을 포함하는 패턴화된 레지스트 픽춰(34)는 에칭을 위해 기판의 일부를 노출시키는 종래의 포토리소그라픽 방법으로 형성된다. 바람직하게, 레지스트(34)는 자외선 광 주파수에서 투명성이 있고 자외선 범위에서 파장을 갖는 입사 광 빔을 차단하지 않는 포토레지스트를 포함하며, 보다 바람직하게는 레지스트 물질은 두께가 두꺼워도 자외선에서 투명성이 있고, 0.25 미크론 보다 작은 치수를 갖는 에칭 픽춰에 사용되는 DUV(deep ultraviolet) 레지스트(34)이다.
기판(20)은 본 발명에서 참조로 하는, 캘리포니아 산타 클라라에 있는 어플라이드 머터리얼스 인코포레이션의 미국 특허 출원 08/767,071 및 08/597,445에 개시되고 는 적절한 처리 챔버를 이용하여 도 2에 개략적으로 도시된 반도체 처리 장치(40)로 에칭된다. 장치(40)는 기판(20)을 처리하는 처리 영역(44), 및 처리 영역에 기판을 보유하는 정전기 척과 같은 지지체(46)를 갖는 처리 챔버(42)를 포함한다. 처리 영역(44)은 기판(20)을 둘러싸고 있고, 약 10,000 내지 약 50,000㎤의 체적을 포함한다. 처리 챔버(42)의 셀링(celling)은 평탄형 또는 직사각형, 아치형, 원뿔형, 돔 형상, 또는 멀티 반경을 갖는 돔 형상일 수 있다. 바람직하게, 셀링은 처리 영역(44)의 전체 체적에 플라즈마 소스 전력의 균일한 분포를 제공하고 평탄한 셀링 보다 기판 표면의 보다 균일한 플라즈마 이온 밀도를 제공하는 돔 형상이다.
처리 가스는 처리 가스 공급기 및 가스 흐름 제어 밸브를 포함하는 가스 흐름 제어 시스템을 포함하는 가스 분배 시스템(48)을 통해 챔버(42) 속으로 주입된다. 가스 분배 시스템(48)은 기판(20)(도시된 것처럼)에 또는 주변 부근에 위치된 가스 배출구(50), 또는 가스 분배 시스템 안쪽에 배출구를 갖는 챔버(42)의 셀링 상에 장착된 샤워헤드(도시되지 않음)를 포함할 수 있다. 소모된 처리 가스 및 에천트 부산물은 챔버(42)에서 약 10-3Torr의 최소 압력을 달성할 수 있는 배기 시스템(전형적으로는 1000 리터/초 러핑(roughing)펌프 및 1000 내지 2000 리터/초 터보모리큘러(turbomolecular) 펌프를 포함한다)을 통해 처리 챔버(42) 로부터 배기된다. 트로틀 밸브(54)가 챔버(52)에서 소모되는 처리 가스 흐름 및 처리 가스의 압력을 제어하기 위해 배기 시스템에 제공된다.
플라즈마는 챔버(42)의 처리 영역(44)으로 또는 처리 챔버에 근접한 원거리 영역에서 전기장을 커플링시키는 플라즈마 발생기(56)를 사용하여 처리 가스로부터 생성된다. 적당한 플라즈마 발생기(56)는 챔버(42)의 중심을 통해 연장되며 기판상의 평면에 수직인 세로 방향 수직축과 일치하는 중심축을 가지는 원형 대칭을 가지는 하나 이상의 인덕터로 구성되는 인덕터 안테나(58)를 포함하며, 이는 미국 특허 출원 번호 제 08/648,254 호에 개시되어 있으며, 본 명세서에서 상호 참조된다. 인덕터 안테나(58)는 돔 천장에 가까이 위치하며, 챔버(42)의 천장은 알루미늄 산화물과 같은 유전체를 포함하며, 이는 RF 필드에 대해 투과성이며 또한 전기 절연체이다. 인덕터 안테나(58)에 사용되는 RF 전압의 주파수는 전형적으로 약 50KHz에서 약 60MHz이며, 더욱 전형적으로는 약 13,56MHz이다. 그리고 안테나에 사용된 RF 전압의 전력 레벨은 약 100DPTJ DIR 5000 와트이다.
인덕터 안테나(58)에 추가하여, 하나 이상의 전극(60,62)은 챔버(42)에서 플라즈마 이온을 가속시키거나 에너자이징하는데 사용될 수 있다. 처리 전극(60,62)은 챔버에서 플라즈마를 생성하거나 에너자이징시키는 용량성 전기장을 형성하기 위해 기판(20)아래에서 제 2 전극(62)과 용량성으로 커플링하는 제 1 전극(60)의 역할을 하도록 전기적으로 접지 또는 바이어싱되는 챔버의 천장 또는 실링을 포함한다. 바람직하게, 제 1 및 제 2 전극(60,62)은 전극(60)에 처킹 전압을 제공하기 위한 DC 전압 공급과 제 2 전극(62)에 플라즈마 발생 RF 전압을 공급하기 위한 AC 전압 공급을 포함하는 전극 전압 공급에 의해 서로에 대해 전기적으로 바이어싱된다. AC 전압 공급은 약 50 내지 약 300 와트의 전류 전력 레벨에서 13,56MHz에서 400KHz에 이르는 하나 이상의 주파수를 가지는 RF 발생 전압을 공급한다.
처리 챔버(42)는 또한 챔버에서 실행된 공정의 엔드포인트를 검출하기 위해 엔드포인트 검출 시스템(64)을 포함한다. 일반적으로, 엔드포인트 검출 시스템(64)은 광빔을 방출하는 광 소스(66), 기판 표면에 입사 광빔(76)을 포커싱하는 포커싱 어셈블리(68) 및 기판 표면으로부터 반사되는 반사광빔(78)의 강도를 측정하는 광 검출기(70)를 포함한다. 컴퓨터(72)는 저장된 특성 파형의 패턴에 대해 기판상에서 처리된 층의 두께로부터 반사된 광의 실시간 측정된 파형의 스펙트라의 일부를 계산하며, 그리고 두개의 파형이 실질적으로 동일한 형태와 형상일 때 처리 챔버(42)에서의 처리 조건을 조절한다.
광 소스(66)는 층이 적당한 두께에서 측정가능한 강도에 있을 때, 기판(20)상의 층으로부터 반사된 반사광빔(78)을 제공하기에 충분히 높은 강도를 가지는 입사 광빔(76)을 생성하는 모노크로메틱 또는 폴리크로메틱 광 소스를 포함한다. 일 실시예에서, 광 소스(66)는 약 200에서 약 600 나노미터에 이르는 파장에서 광의 방출 스펙트럼을 발생시키는 Hg-Cd 램프와 같은 폴리크로메틱 광을 제공한다. 폴리크로메틱 광 소스(66)는 선택된 주파수를 가지는 입사광빔(76)을 제공하기 위해 필터링될 수 있거나, 특정 방출 스펙트라 파장이 사용될 수 있거나. 광 검출기로 진입하는 반사된 광빔(78)이 측정되기 전에 원하는 파장의 광을 제외한 바라직하지 않은 모든 파장을 필터링하기 위해 컬러 필터가 광 검출기(70)의 앞에 배치될 수 있다. 광 소스(66)는 또한 예를 들어 He-Ne 또는 ND-YAG 레이저와 같은 선택된 파장의 광을 제공하는 모노크로메틱 광 소스를 포함할 수 있다.
하나 이상의 볼록 포커싱 렌즈(74a,74b)는 기판 표면에서 빔 스폿(80)으로서 광 소스(66)의 입사 광빔(76)을 포커싱하기 위해 그리고 광 검출기(70)의 액티브 표면에서 반사된 광빔(78)을 다시 포커싱하기 위해 사용된다. 빔 스폿(80)의 크기 또는 영역은 바이오스 또는 깊고 좁은 트렌치와 같은 작은 오프닝을 가지는 높은 종횡비의 형상의 에칭을 가능하게 하도록 기판(20)의 표면 토폴로지에서의 가변성을 보상하기에 충분히 커야한다. 반사광빔의 영역은 광 검출기(70)의 액티브 광검출 표면의 많은 부분을 활성시키기에 충분히 커야한다. 입사 및 반사광빔(76,78)은 광빔이 처리 환경의 안으로 통과하며 그리고 처리 환경의 밖으로 통과하게 하는 처리 챔버(42)의 투명 윈도우(82)를 통하여 직진한다.
선택적으로, 광빔 포지셔너(84)는 에칭 공정을 모니터링하기 위해 빔 스폿(80)을 "파크(park)"하도록 기판 표면에서 에칭된 층의 적당한 부분을 위치시키기 위해 기판 표면을 가로지르는 입사광빔(76)을 이동시키는데 사용된다. 광빔 포지셔너(84)는 기판 표면의 서로 다른 위치로 광 소스(66)로부터의 광빔을 편향시키기 위해 작은 각도에서 회전하는 하나 이상의 주 미러(86)를 포함한다(도시된 바와 같음). 추가의 제 2 미러는 기판(20) 표면으로부터 반사되는 반사 광빔(78)을 인터셉트하기 위해 사용되며(도시되지 않음)그리고 광검출기(70)에 광빔을 포커싱하는데 사용된다. 다른 실시예에서, 광빔 포지셔너(84)는 기판(20) 표면을 가로질러 래스터 패턴에서 광빔을 스캔하는데 사용된다. 이 경우, 광빔 포지셔너(84)는 광 소스(66), 포커싱 어셈블리(68), 수집 렌즈, 및 검출기(70)가 장착될 때 이동 가능 스테이지(도시되지 않음)로 구성된 스캐닝 어셈블리를 포함한다. 이동가능 스테이지는 스테퍼 모터와 같은 드라이브 메카니즘에 의해 세트 간격을 통해 이동될 수 있으며 기판 표면을 가로질러 빔 스폿(80)을 이동시킬 수 있다.
광 검출기(70)는 기판 표면으로부터 반사된 반사광빔(78)의 측정 강도에 따라 신호를 제공하는 광전지 셀, 광다이오드, 또는 광트랜지스터와 같은 광에 민감한 전자 소자를 포함한다. 상기 신호는 전자 소자에 사용되는 전압의 변화 또는 전자 소자를 관통하는 전류 레벨의 변화의 형태일 수 있다. 반사광 빔(78)은 광빔의 강도를 증가시키거나 감소시키는 유리한 그리고/또는 불리한 간섭을 받으며, 광 검출기(70)는 반사광빔(78)의 측정 강도에 대한 출력 신호를 제공한다. 시간의 함수로 표시된 출력 신호는 반사광빔(78)의 가변 강도에 따라 다수의 파형 패턴을 가지는 파형 스펙트라를 제공한다.
컴퓨터 시스템(72)상의 프로그램은 반사광빔(78)의 측정 파형 패턴의 형태와 저장된 특성 파형 패턴을 비교하며 측정 파형이 특성 파형 패턴과 동일할 때 에칭 공정의 엔드포인트를 결정한다. 컴퓨터 프로그램은 또한 실시간으로 기판(20)에 남았는 에칭된 층의 두께를 계산하며 따라서 처리 챔버(42)에서 처리 조건을 조절하는 프로그램 코드를 포함할 수 있다. 컴퓨터 프로그램은 또한 반사광빔(78)의 강도에서 최대 및 최소 피크의 개수를 계산할 수 있으며, 소정의 피크 개수에 이른후에, 프로그래밍된 가이드라인에 따라 챔버(42)의 처리조건을 변경시킨다.
에칭 및 엔드포인트 검출 공정
본 발명에 따른 기판 처리 및 엔트포인트 검출 방법의 일 예가 지금부터 전형적인 에칭 공정을 참조로 기술될 것이다. 여기에서 게이트 산화물(실리콘이산화물) 하부층(28)상의 폴리실리콘 상부층(30)은 하부층을 에칭 또는 손상시키지 않으면서 에칭된다. 기판(20)은 슬리 밸브를 통해 챔버의 처리 영역(44)으로 로드-로크 전송 챔버(42)로부터 로보트 암에 의해 전송된다. 기판(20)은 정전기 척에 의해 지지물(46)상에 고정되며 헬륨은 기판의 온도를 조절하기 위해 척크의 개구부를 통해 공급된다. 이 후, 처리 챔버(42)의 처리 조건은 기판(20)상의 층을 처리하도록 세팅되며, 처리 조건은 처리 가스 조성과 흐름율, 가스 에너자이저의 전력 레벨, 가스 압력, 및 기판 온도를 포함한다. 상기 공정은 또한 예를들어 서로 다른 처리 조건을 가지는 여러 단계에서 실행될 수 있다. 예를 들어, 에칭 공정에서는, 기판을 에칭하는 에칭 가스를 포함하는 하나 이상의 처리 가스의 조성물은 가스 분배기를 통해 챔버(42)로 주입된다. 기판(20)상의 층을 에칭하는 적당한 에칭 가스는 예를 들어 HCl, BCl3, HBr, Br2, Cl2, CCl4, SiCl4, SF6, F, NF3, HF, CF3, CH3F, CHF3, C2H2F2, C2H4F6, C2F6, C3F8, C4F8, C2HF5, C4F10, CF2Cl2, CFCl3, O2, N2, He 및 그 혼합물을 포함한다. 챔버(42)는 전형적으로 약 0.1내지 약 400mTorr의 압력에서 유지된다. 에칭 가스 조성물은 하부층에 대해 상부층을 에칭하는 높은 에칭비율 및/또는 높은 에칭 선택성 비율을 제공하도록 선택된다. 다중층이 순차적으로 제 1, 제 2, 제 3 에칭되었을 때, 에칭 가스 조성물은 각각의 특정층을 에칭하기 위해 챔버(42)에 연속적으로 유입될 수 있다.
처리 영역(44)의 처리 가스는 기판(20)에서 층을 에칭하는데 적당한 제 1 처리 조건에서 에너자이징되어 유지된다. 도 2를 참조하면, 플라즈마는 챔버(42)의 처리 영역(44)으로 에너지를 유도성 및/또는 용량성으로 커플링하거나 처리 영역으로부터 떨어진 위치에 있는 원거리 챔버(도시되지 않음)의 원거리 영역의 에칭 가스에 마이크로파를 사용함으로써 플라즈마 발생기(56)를 사용하여 에칭 가스로부터 에너자이징된다. 에너자이징된 처리 가스에 의해, 처리 가스는 하나 이상의 해리계, 비해리계, 이온계, 및/또는 중성계들이 더욱 화학적으로 반응하는 높은 에너지 상태로 여기되도록 활성화되거나 에너자이징되는 것을 의미한다. 바람직하게, 처리가스는 RF 소스 전류를 챔버(42)를 에워싸는 인덕터 안테나(58)에 사용하거나 RF 바이어스 전압을 처리 전극(60,62)에 사용함으로써 에너자이징된다. 에칭 가스는 챔버(42)로부터 배출된 휘발성 가스계를 형성하기 위해 기판(20)에서 층을 에칭하는 이온 및 뉴트럴을 형성하도록 사용된 전기장에서 이온화된다.
처리 조건을 정확하게 변경시키기 위해, 주어진 두께의 층이 처리된 후, 엔드포인트 검출 방법이 챔버(42)의 처리조건을 변경시키며 층의 두께를 검출하기위해 사용된다. 에칭 공정에서, 엔트포인트 검출 방법은 특정한 에칭 비율 또는 에칭 선택성 비율을 제공하기 위해 처리 가스 조성물을 변경시키는데 사용될 수 있다. 예를 들어, 엔드포인트 검출 방법은 제 1의 고도로 어그레시브한 에칭 단계후에 에칭 공정을 정지시키기 위해 사용될 수 있으며, 이는 제 2의 보다 적게 반응하는 에칭 단계에 대한 스타팅 포인트를 결정하기 위해 에칭 가스의 플루오르화 가스의 존재로 인해 높은 에칭비율을 제공하며, 더욱 제어된 에칭을 획득하기 위해 보다 낮은 에칭 비율에서 나머지 유전층을 에칭하기 위해 플루오르화 가스가 결여된 처리 가스를 사용한다. 엔드포인트 검출 시스템(64)은 원하는 에칭 비율의 변화, 에칭 선택성 비율, 또는 임의의 다른 에칭 처리 특성의 변화, 예를 들면, 높은/낮은 에칭 비율 또는 서로 다른 조성을 가지는 하부층의 에칭을 획득하기 위해, 제 1 처리 조건이 보다 적게 어그레시브하거나 제 2 처리 조건, 또는 그 반대로 변화될 수 있도록 대부분의 실리콘층이 에칭되는 시간을 검출하는데 사용된다.
일반적으로, 엔드포인트 검출 방법에서, 입사광빔(76)으로 개략적으로 표현된 방사선은 층이 처리되거나 에칭되는 동안 기판(20)을 커버링하는 층에 입사되기 위해 처리 챔버(42)의 처리 영역(44)의 에너자이징된 처리 가스를 통해 전송된다. 층(30)의 두께가 충분히 작을 때(일 시간의 에칭 후에), 기판(20)상의 층(30)이 상부 및 하부 표면 모두에서 반사된 반사광빔(78)의 강도는 측정된 파형 패턴을 얻기 위해 시간에 대해 측정되며 나타난다. 측정된 파형 패턴은 두개의 파형이 서로에 대하 유사하거나 실질적으로 동일할 때 발생하는 에칭 처리의 엔드포인트를 결정하기 위해 소정의 특성 파형 패턴과 비교된다. 엔드포인트의 검출시에, 제 1 처리 조건은 기판(20)에서 층을 에칭하는 비율을 변화시키거나 전체층(30)이 에칭되기전에 하부층에 대한 그 에칭 선택성 비율을 변화시키도록 제 2 처리 조건을 변화시킨다.
도 3a의 흐름도에 도시된 바와 같이, 원하는 흡수 또는 반사 특성을 제공하기에 적당한 파장의 광을 식별하고 기판(20)의 처리동안 발생하는 선택된 파장을 가지는 입사빔의 반사광의 특성 파형 패턴을 선택하기 위해 예비 실험이 실행된다. 우선, 반사율 두께 측정 머신이 기판(20)에서 에칭된 층의 초기 두께를 정확하게 결정하는데 사용되며, 이는 캘리포니아 산타클라라 KLA-TENCOR로부터 입수가능한 모델 UV 1050이다. 실제 층의 두께는 에칭 공정후에 기판(20)상에 남아있는 층의 소정 두께를 제공하도록 에칭되는 층의 두께를 계산 및/또는 에칭 공정의 전체 동작 시간을 추정하는데 유용하다.
이후, 특정 파장의 입사광이 엔드포인트 검출 공정을 동작시키기 위해 선택된다. 바람직하게, 입사광빔은 식별가능한 파형 스펙트라와 높은 강도의 특성 파형 패턴을 제공하기 위해 특정한 주요 파장 또는 단일 파장의 가시광(또는 광빔은 주요 파장 또는 단일 파장을 획득하기 위해 필터링된다)만을 실질적으로 포함한다. 예를 들어, 파장은 바람직하게 광소스(66)의 방사 스펙트라의 높은 강도의 파장에 해당한다. 또한, 입사광빔이 단지 비분극된 광만으로 실질적으로 구성되는 것은 바람직하다. 분극된 광은 챔버 윈도우 또는 챔버의 플라즈마의 특성에서의 변화에 의해 우선적으로 흡수된다. 예를 들어, 챔버 윈도우상의 얇은 잔여물의 증착은 챔버 윈도우의 흡수 특성을 변경시킬 수 있으며, 분극광의 우선적인 흡수를 초래한다. 광의 파형 패턴의 비교 또는 측정상의 에러를 감소시키기 위해 반사광의 강도의 변화를 감소시키거나 방지하도록 비분극광을 사용하는 것은 바람직하다.
광의 선택된 파장은 도 4a에 도시된 바와 같이 제 1 두께를 가지는 층(30)에 입사될 때 층(30)의 상부 표면으로부터 완전히 반사 및/또는 흡수되어야 한다. 선택된 파장은 또한 도 4b에 도시된 바와 같이 층(30)이 부분적으로 에칭되며 더 얇고 더 투명할 때 층(30)과 층의 인터페이스(22)로부터 적어도 부분적으로 반사되어야 한다. 층(30)의 두께가 에칭 공정동안 감소됨에 따라, 층(30)의 나머지 두께는 입사광(76)의 특정 파장에 투과성이 된다. 도 4b를 참조하면, 층(30)이 투과성 두께의 레벨에 에칭될 때(또는 처리될 때), 입사광의 제 1 성분(76a) 또는 그 일부는 빔의 상부 표면으로부터 반사되고, 제 2 성분(76b) 또는 입사광빔의 일부는 층(30)에 진입하고 층의 두께를 통하여 편향되며 층(30)의 하부 표면으로부터 다시 윗쪽으로 반사된다. 입사광의 다른 편향과 반사가 단순한 개념에서 발생되는 동안, 투명층은 상부층(30)의 상부표면으로부터 광빔의 제 1 상분(76a)과 하부층(22)의 상부 표면의 광 빔의 제 2 성분(76b)을 반사시킨다. 제 1 및 제 2 광빔 성분은 충분한 두께의 층(30)이 에칭되거나 증착된 후에만 형성되고 반사광빔을 형성하기 위해 유리하게 또는 불리하게 간섭한다.
적당한 파장은 기판상의 층(30, 및 층(22))의 광학 특성과 광 소스(66)의 방사 스펙트라에 따른다. 예를 들어, 도 4는 Hg 램프의 입사광빔에 대한 획득된 반사광의 피크 강도에 관한 그래프이며, 반사광은 100 나노미터 실리콘 이산화물 하부층(22)에 첨가된 약 500 나노미터의 두께를 가지는 얇은 폴리실리콘층(30)으로부터 반사된다. 그래프상의 피크는 Hg 램프의 서로 다른 방사 스펙트럼 파장에서 제공된 광과 기판(20)상의 층(22,30)간의 상호작용을 나타낸다. 예를 들어, 365nm를 초과하는 파장에서의 피크의 강도는 254 또는 313nm의 낮은 파장에서의 피크 강도보다 훨씬 크다. 높은 피크를 나타내는 파장은 높은 강도가 반사된 파형 스펙트라의 높은 신호 대 잡음비로 인해 낮은 에러 레벨을 제공하기 때문에 선택된다. 최적의 파장은 에칭되는 층의 조성과 초기 및 최종 두께의 에칭된 층의 조성에 따르며, 따라서 파장의 경험적인 결정은 바람직하지 않다. 상기 예에서, 254,313,365,405,436 및 546의 파장은 테스팅 및 반사 파형 스펙트라의 형태 및 형상을 테스트하고 평가하기 위해 선택된다.
반사 파형 스펙트라를 평가하기 위해, 일단의 기판이 실제 에칭 공정에서 사용된 동일한 처리 조건을 사용하여 에칭챔버(42)에서 에칭된다. 각 기판(20)이 에칭되는 동안, 특정 파장의 입사광(76)은 기판(20)에서 직진되며 반사 광빔(78)의 반사(또는 흡수) 파형 스펙트라는 검출기(70)에 의해 모니터링된다. 상기의 실험은 Hg-Cd 램프를 구성하는 광소스(66)를 사용하여 실행된다. 광소스의 광빔은 기판(20)에서 에칭된 하나 이상의 형상을 커버링하기에 충분히 큰 크기를 가지는 빔스폿(80)을 제공하기 위해 수직각에 가까이 기판(20)에서 포커싱된다. 반사광빔(78)의 강도는 측정된 파형 패턴을 획득하기 위해 시간에 대해 측정된다.
에칭 공정에서, 빔광(76)은 먼저 층 두께가 도 4a에 도시된 바와 같이 입사광빔(76)에 대해 층이 불투명하게 될 때 층(30)의 상부 표면에서 부분적으로 반사 및 흡수된다. 이 후, 입사광의 일부는 빔의 상부표면으로부터 반사되며, 입사광빔의 다른 일부는 층(30)에 진입하고, 층의 두께를 통해 편향되며, 층(30)의 하부 표면으로부터 상부로 다시 반사된다. 반사광빔(78)의 강도는 층(30)의 두께와 입사광빔(76)의 파장에 의존하는 주기 간격에 따라 변경된다. 일반적으로, 반사 파형 패턴의 주기성 오실레이션은 층(30)의 두께가 감소값, 즉, 층과 하부층의 인터페이스에 근접한 값에 접근함에 따라 크기가 증가된다. 이는 도 4b와 도 4c에 도시된 바와 같이, 반사광빔(78)의 강도가 구성성분인 광빔(78a)의 위상 관계식에 따르며, 다음으로 에칭된 층(30)의 감소되는 두께를 통해 감소되는 파장 길이 d에 따른다. 광빔의 제 1 및 제 2 반사 성분(78a,78b)의 합으로 주어진 반사광빔(78)의 강도는 추기성의 최대 및 최소갑을 가진다(예를 들어 도 5에 도시됨). 간섭 현상은 방정식 2d = N(λ/η)으로 기술되며, 여기에서 λ는 레이저광의 파장이며, η는 층의 굴절률이며, d는 층의 두께이다. 정수값 N=1,2,3,...의 경우, 간섭은 유리하며, 반사광의 강도는 최대가 된다. N=1/3, 3/2, 5/2,...의 경우, 간섭은 불리하며, 반사광빔의 강도는 최소가 된다.
광간섭계상의 파장의 흡수와 투과 특성의 보다 자세한 정의에서, 광흡수 박필름층(30)(매체1)은 다른층(22)(매체2)에 놓여있을 때, 그 반사율이 대략 합산 방식으로 정의될 수 있다. 일반적으로 층(30)(매체 1)에 입사되는 챔버의 처리 환경(매체 제로)의 방사는 복소 프레스넬 계수 r1=(n0-n1)/(n0+n1)에 의해 결정되는 제 1 표면 반사를 가지며, 여기에서 n0과 n1은 매체 0과 1의 복소 굴절율이다. 복소 굴절률 n은 n=-ik로서 정의되며, n과 k는 실수와 허수부이고, 각각 굴절률과 소멸계수를 나타낸다. 층(30)에 의해 반사되지 않은 방사선은 복소 프레스넬 전송 계수 t1=2n0/(n0+n1)에 따라 층(30)으로 전송된다. 전송된 방사선은 이 후 인자 exp(-4k1d/λ)에 의해 깊이 d의함수로서 층(30)(매체 1)에 흡수되며, λ는 입사되는 방사선의 파장이다. 만일 입사되는 방사선이 층(30)의 뒷면에 도달하기 전에 완전히 흡수되지 않는다면, 어떤 방사선은 방정식 r2=(n1-n2)/(n1+n2)에 따라 다시 반사되며, 여기에서 n2는 층(매체 2)에 대한 복소 굴절률이다. 흡수후에 남아있는 반사의 일부는 다시 매체 0으로 전송되며, 원래 반사와 결합되지만, 위상 변화는 커버링되는 왕복거리에 의해 d1=2πn1d1/λ로 정의된 위상 변화에 따른다. 네트 반사된 크기는 대략 rnet=r1+t1t1'r2exp(-2id1)이며, 다중 반사는 무시된다. d1과 k1이 충분히 클때, 흡수가 주되며 제 2 항은 제로가 되고 두께 함수 d1으로서 일정한 내트 반사를 생성한다. d1이 충분히 작게되면, 흡수는 더이상 주된것이 아니며 네트 방사는 d1이 변경함에 따라 더이상 일정하지 않다. 상기의 변경은 d1이 변함에 따르는 제 2 항의 위상 변화로부터 온다. k1의 크기에 따라, d1에 따르는 반사율의 변화는 주기성일 수 있으며, d1이 제로에 접근함에 따라 크기가 증가하거나 단순하게 특성화된 표현을 가진다. 보다 복잡한 층 시스템은 동일한 동작을 보여주며, 다중층의 얇은 필름 스택인 각 층(30)이 2×2 수학 매트릭스로 표현되며, 스택의 총 반사율을 계산하기 위해 사용되는 특성 매트릭스 접근법을 사용하여 보다 정확하게 모델링될 수 있다. 패턴으로부터 야기된 다중층 스택의 측면이 차이는 또한 패턴화된 다중층 시스템으로부터 총 반사강도를 결정하기 위해 각 스택으로부터 복소수의 벡터(위상) 반사 크기를 합산하여 계산될 수 있다.
도 6에 도시된 바와 같이, 획득된 파형 스펙트라로부터, 입사광의 특정 파장은 에층된 층의 감소하는 두께의 함수로서 해당 파형 스펙트라의 주기성에 따라 선택된다. 파형 스펙트라는 높은 파장에 대해 여러 파형 오실레이션을 포함하며, 특정 파장에서 엔드포인트 검출을 위해 특성 파형 패턴을 정확하게 선택하도록 여러개의 주기성 오실레이션 피크를 제공한다. 예를 들어, 254nm의 파장에서, 반사광빔(78)의 강도는 에칭 공정의 끝 이전에 단일 밸리(valley)만을 보여주며, 313nm에서, 반사광빔(78)의 강도는 단일 피크를 제공하며, 이 모두는 바람직하지 않다. 그러므로 254nm에서 313nm이 낮은 범위에서의 파장은 너무 적은 파장을 제공하기 때문에 바람직하지 않다. 365nm의 파장은 쉽게 인식될 수 있는 형태를 각각 가지는 두개의 별개의 피크를 제공한다. 405nm이상의 파장에서, 많은 개수의 피크가 획득되며, 이는 덜 바람직하다. 546nm에서, 다중 피크는 파형 스펙트라를 바람직하지 않게 하며, 이는 각각의 피크가 컴퓨터 프로그램에 의해 "인식"되기 때문이며, 이는 많은 개수의 엔드포인트 검출 에러를 초래할 수 있다. 일반적으로, 쉽게 인식될 수 있으며, 또한 다중 피크 또는 다른 파형의 오실레이션 형태의 저장 또는 분석이 덜 필요한 하나 또는 두개의 피크와 단순한 스펙트라를 사용하는 것은 바람직하다. 그러므로, 적당한 파형 스펙트라(상기 세트의 파형 스펙트라로부터)는 365nm와 405nm의 파장에서 제공되며, 양 스펙트라는 약 5 내지 7의 가시 피크에서 최소로부터 최대로 빠르게 증가하는 적은 수의 오실레이션을 나타낸다. 그러므로 폴리실리콘층(30)의 에칭을 위해, 바람직한 파장은 약 600nm이하이며, 보다 바람직하게는 약 200nm에서 약 600nm이며, 이경우, 가장 바람직하게는 365 또는 405nm중의 하나이다.
일단 특정 파장 및 해당 파형 스펙트라가 경험적으로 결정되면, 폴리실리콘층(30)의 에칭이 종료되기 바로전에 그리고 나머지 에칭층(30)의 두께가 미리 선택된 엔드포인트 두께에 접근할 때 쉽게 특성화할 수 있는 파형 패턴을 제공하는 특정한 파형 패턴이 선택된다. 특성 파형 패턴은 컴퓨터 시스템 프로그램에 의해 상대적으로 쉽게 인식될 수 있으며 엔드포인트 검출시 낮은 에러를 제공하는 형태 또는 오실레이션을 가져야 한다. 특성 파형 패턴은 또한 반사광빔이 감쇠되거나 높은 신호 대 잡음비를 가지는 경우에도 컴퓨터 시스템(72)에 쉽게 인식될 수 있을 별개의 형상을 가져야한다. 형상 인식 특성은 또한 컴퓨터 프로그램의비교 프로그래밍 코드 모듈이 구조 및 광 검출기(7)의 선택성에 따른다. 또한, 특성 파형은 큰 일단의 기판을 처리할 때 엔드포인트 검출을 감소시키기 위해 일 기판(20)으로부터 다른 기판에 충분히 반복적이어야 한다.
바람직하게, 특성 파형 패턴은 층의 에칭의 종료 단계를 나타내거나 이에 해당하는 반사 파형 패턴 형태의 터미널 피크 또는 딥의 바로 이전에 발생하는 반복가능한 파형 오실레이션 패턴을 포함한다. 전형적으로 에칭되는 층(30)의 작은 두께만이 여전히 기판(20)에 남았을 때 발생하는 특성 파형 패턴이 되도록 선택된다. 예를 들어, 365nm 파장 스펙트라에 대해 선택된 특성 파형은 도 6의 삽입 박스에 도시되어 있다. 프로덕션 에칭 처리동안, 실시간으로 측정되는 파형 스펙트라는 소정의 특성 파형 스펙트라와 비교되며, 에칭 공정은 삽입 박스의 특성 파형 피텬 신호가 검출될 때 정지되거나 처리 조건이 변화된다. 또한, 층의 초기 두께, 입사광빔(76)의 파장 및 반사광빔의 강도의 주기성이 공지되어 있기 때문에, 특성 파형 패턴은 층의 나머지 두께를 계산하는데 사용될 수 있으며, 일 소정의 층 두께에서 에칭 공정을 정지하거나 변화시키는데 사용될 수 있다. 전형적으로 게이트 산화물 구조의 제작시 폴리실리콘층(30)의 에칭에 대해, 에칭 공정은 잔여층 두께가 약 500Å이하, 더욱 전형적으로는 약 200내지 약 1000Å일 때 정지된다.
도 7a와 7b는 실리콘 산화물층(22)에 증착된 폴리실리콘(30)의 인터페이스에 근접한 반사광빔(78; 365nm이 파장에서)의 파형 스펙트라의 일부를 나타낸다. 도 7a는 실리콘 이산화물(22)의 상대적으로 두꺼운 1000Å층을 덮는 5000Å 두께의 폴리실리콘(30)위에 패턴화된 i-라인의 저항층(34)을 가지는 기판(20)에 대해 획득된 스펙트라이다. 도 7a에서, 미리 선택된 특성 파형은 큰 피크 바로 앞에 있는 작은 피크 이전에서 발생하는 작은 딥이다. 작은 딥은 쉽게 인식될 수 있고 통상적인 형태 인식 컴퓨터 프로그램에 의해 프로그래밍될 수 있다. 도 7b는 매우 얇은 65Å층의 실리콘 이 산화물을 덮는 2000Å이상의 도핑되지 않은 폴리실리콘(30)상에서 패턴화된 i-라인 저항층(34)을 가지는 기판(20)에 대해 획득된 스펙트라이다. 도 7b에서, 특성 파형 패턴은 상대적으로 큰 피크 신호의 앞에 있는 작은 딥이며, 이는 또한 쉽게 인식될 수 있고 상당히 반복적이다.
특성 파형 패턴의 형상과 위치는 본 발명의 엔드포인트 검출 및 처리 시스템(64)을 동작시키는 컴퓨터 시스템(72)의 컴퓨터 코드로 프로그래밍된다. 에칭 공정에서, 도 3b의 흐름도에 의해 표시된 바와 같이, 엔드포인트 검출 시스템(64)은 챔버(42)에서 처리되는 기판(20)의 층의 원 위치에서의 두게를 연속적으로 측정하는데 사용된다. 에칭 공정동안, 선택된 파장을 가지는 광빔은 기판(20)에서 포커싱되며, 반사광의 강도는 광검출기(70)에 의해 측정된다. 다색광 소스(66)는 적당한 세트의 필터로 필터링되거나 또는 다색광 레이저는 기판상의 원하는 파장과 크기의 입사 광 빔 스폿(80)을 얻기 이해 기판(20)에서 포커싱된다. 반사광빔(78)의 강도는 측정된 반사파형 스펙트라를 얻기위해 전체 에칭 공정동안 광검출기(70)에 의해 시간에 대해 측정된다. 측정된 파형 스펙트라의 파형 패턴이 프로그래밍된 특성 파형 패턴과 동일할 때, 에칭 엔드포인트는 컴퓨터 시스템(72)에 의해 검출된다. 상기 단계에서, 에칭은 완성된 상테,, 즉 기판에 남아있는 약 300Å의 실리콘 층에 가깝게 진행되며, 제 1 처리 조건은 상부의 보다 제어가능한 에칭을 제공하도록 에칭 비율을 감소시키며 하부의 실리콘 이산화물층에 대해 폴리실리콘층(30)을 에칭하는 에칭 선택성 비율을 증가시키기 위해 제 2 처리 조건으로 변경된다. 에칭 비율은 어그레시브한 에칭 가스를 제거하며, RF 바이어스 전력 레벨을 낮추고 기판 온도등을 낮추기 위해 처리 가스의 조성을 변경시킴으로써 감소될 수 있다.
본 발명의 에칭 및 엔드포인트 검출 방법은 에칭 또는 얇은 게이트 산화물의 하부층(28)을 감소시킴으로써 기판 수율을 상당히 개선할 수 있다. 특히, 폴리실리콘 에칭 공정은 25내지 65 옹거스트롬의 두께를 가지는 매우 얇은 게이트 산화물층을 에칭하지 않고 정지되며, 이는 실리콘 이산화물로 이루어진 매우 적은 층이며 종래기술의 게이트 산화물층보다 4내지 5배 얇다. 에칭 방법은 또한 고밀도 RF 바이어스 플라즈마가 얇은 게이트 산화물층(28)에 의해 실리콘 웨이퍼로 커플링되는 손실 전류의 형성에 의해 초래될 수 있는 손실을 최소로 한다. 또한, 얇은 게이트 산화물층이 어그레시브한 에칭 처리 단계에 의해 손상되기 전에 에칭 공정을 정지시킴으로써 현 공정은 높은 수율과 보다나은 특성의 집적 회로를 제공한다.
게다가, 본 발명의 엔드포인트 검출 방법은 일립소메트리(ellipsometry) 또는 플라즈마 방출 방법보다 바람직하다. 에칭 엔드포인트 단계를 식별하기 위해 측정된 파형 패턴이 형상과 특성 파형 패턴의 형상을 비교하는 현 방법이 훨씬 정확하다. 상기 방법은 또한 투명 윈도우(82)상의 에칭 증착층의 형성보다 내성이 있으며, 이는 반사광빔(78)의 전체 강도를 단순하게 감소시키지만, 여전히 반사광빔(78)의 강도에서의 상대적인 변화의 검출을 가능하게 한다. 또한, 특성 파형 방법의 형태는 만일 반사광의 강도가 챔버(42)의 투명 윈도우(82)상에서 형성된 증착에 의해 필터링 또는 흡수에 따라 감소할 때에도 변하지 않는다. 챔버 윈도우(82)상에 형성된 증착은 또한 엔드포인트 검출 방법에 영향을 미치지 않으며, 이는 광빔의 분극 상태와 독립적인 반사광의 강도(일립소메트리에서 측정된) 때문이다. 게다가, 단일 파장의 비분극광은 에칭 엔드포인트를 측정 및 결정하는데 적당하며, 따라서 일립소메트리에 필요한 다중 파장의 광의 강도 및 위상 쉬프트를 측정하는데 사용되는 검출기 및 광소스의 복잡성을 감소시킨다.
클리닝 및 에칭 공정
본 발명의 다른 측면에서, 챔버 클리닝 처리는 에칭 공정과 관련하여 실행된다. 바람직한 실시예에서, 기판의 제 1 층은 높은 에칭 비율을 제공하는 에칭 가스의 조성물과 챔버 벽에 형성된 에칭 잔여물을 클리닝하는 챔버 클리닝 가스를 포함하는 처리 가스에 의해 제 1 단계의 에칭 공정에서 에칭된다. 에칭 엔드포인트는 제 1 층을 에칭하기 바로 전에 검출되며, 처리 가스의 조성물은 하부의 제 2 층을 손상시키지 않으면서 제 1 층의 나머지 부분을 에칭하기 위해 클리닝 가스를 제거하도록 제 1 처리 단계에서 변경된다. 제 1 공정의 클리닝 가스는 습식 클리닝 공정을 수행하기 위해 일단의 기판의 처리과정 사이에서 에칭을 정지시킬 필요없이 에칭 챔버를 클리닝한다.
제 1 단계 대신, 클리닝 가스는 또한 기판상의 특정층을 에칭하는데 사용되는 임의의 에칭 단계에서 처리 가스에 추가될 수 있다. 예를 들어, 여러 단계의 에칭 처리공정은 기판에서 서로 다른 재료의 스택된 층을 에칭하는데 사용될 수 있다. 이 처리공정에서, 클리닝 가스는 모든 선행 또는 연이은 단계동안 챔버 표면에 증착된 에칭 잔여물을 제거하기 위해 적어도 하나의 단계에서 주입된다. 여러 단계의 처리 공정은 텅스텐 실리사이드의 여러층과 폴리실리콘층을 포함하는 폴리사이드 구조를 에칭하거나 실리콘 웨이퍼상에 실로콘 이산화물층 또는 실리콘 질화물층을 에칭하는데 유용하다 여러 처리 공정이 상기 층을 에칭하는 상황에서 도시되었지만, 상기 공정은 금속층과 같은 다른층을 에칭하는데 사용될 수 있다. 그러므로 상기 공정은 본 명세서에 제공된 예시적인 방법에 제한되지 않는다.
클리닝 단계에서, 클리닝 가스는 에칭동안 챔버에 형성된 에칭 잔여물이 에칭 공정의 끝에서 실질적으로 완전히 제거되도록 에칭 가스에 추가된다. 바람직한 실시예에서, 에칭 가스는 하나 이상의 Cl2, N2, O2, HBr, 또는 He-O2를 포함하며, 클리닝 가스는 하나 이상의 NF3,CF4, 또는 SF6와 같은 플루오르화 가스를 포함하는 무기 논-하이드로카본을 포함한다. 바람직하게, 에칭 가스에 대한 클리닝 가스의 용적 흐름율은 에칭 공정의 종료시에 챔버 표면의 전체 에칭 잔여물이 실질적으로 제거되도록 선택된다. 보다 바람직하게는, 에칭 가스에 대한 클리닝 가스의 용적 흐름율이 챔버를 클리닝하기 위한 개별 클리닝 단계를 수행하지 않으면서 챔버의 적어도 2000 기판을 처리하는 동안 형성된 모든 에칭 잔여물을 실질적으로 제거하도록 선택된다. 에칭 가스에 대한 클리닝 가스의 적당한 용적 흐름율은 약 1:20에서 약 1:1이며, 보다 바람직하게는 약 1:10에서 약 2:3, 가장 바람직하게는 약 2:3이다. 상기의 용적 흐름율에서, 챔버 표면의 모든 에칭 잔여물은 챔버 표면을 부식시키지 않으면서 제거된다. 또한, 챔버 표면은 개별 챔버 조건 설정 또는 시즈닝(seasoning) 단계를 요구하지 않으면서 에칭 및 클리닝 가스 조합 단계에 의해 클리닝 및 조건 설정된다.
실리콘 함유층의 에칭시, 에칭 가스 조성물에 대한 플루오르화 클리닝 가스의 첨가는 실리콘 함유층에 대해 높은 최종 처리 가스를 형성하며 하부 실리콘 이산화물층에 대해 상부 폴리실리콘층을 에칭하는 높은 에칭 비율과 낮은 에칭 선택성 비율을 제공한다. 전형적으로, 애칭 가스의 추가는 에칭 선택성 비율을 4:1에서 6:1로 떨어뜨린다. 반대로 통상적인 에칭 가스로 획득된 에칭 선택성 비율은 약 10:1내지 12:1에 이른다. 이는 클리닝 가스 플라즈마가 하부층이 플라즈마에 노출될 때 하부층을 에칭 또는 손상시키기 때문이다. 이는 심지어 적은 원자로 이루어진 적은층과 비슷한 하부층이 매우 얇을때 더욱 문제가 되며, 매우 빠르게 손상되거나 에칭된다.
에칭 공정에서, 기판은 에칭 가스와 클리닝 가스의 혼합물을 포함하는 제 1 처리 가스에 의해 가장 먼저 에칭된다. 에칭 가스는 120 sccm HBr, 30 sccm Cl2, 및 10 sccm He-O2(30% O2포함)을 포함한다. 클리닝 가스 조성물은 클리닝 가스의 총 흐름율에서 약 25%의 용적 흐름율, 약 40sccm의 에칭 가스에 첨가된다. 인덕터 소스 전력 레벨은 약 500 와트, RF 바이어스 전력 레벨은 약 100W, 압력은 4mTorr, 캐소드 온도는 약 50C에서 유지된다. 클리닝 가스는 에칭 공정동안 개별 습식 클리닝 단계가 필요하지 않도록 챔버 벽에서 에칭 잔여물 증착을 완전히 클리닝한다. 그러나, CF4 클리닝 가스의 추가에 의해 하부 실리콘 이산화물에 대해 폴리실리콘을 에칭하기 위한 에칭 선택성 비율이 약 5:1의 에칭 선택성 비율로 감소되는 것이 결정된다. 상대적으로 낮은 에칭 선택성 비율은 만일 에칭 공정이 하층의 에칭 종료 이전에 변하지 않는다면 하층의 빠른 저하를 초래할 것이다.
에칭 공정의 제 1 단계동안, 엔드포인트 검출 시스템은 에칭이 완성된 상태, 즉 기판에 남아있는 약 30nm의 폴리실리콘층에 가까이 진행할 때, 기판에서 층을 에칭하는 엔드포인트를 검출하도록 프로그래밍된다. 선택된 특성 파장 패턴이 컴퓨터 시스템에 의해 검출될 때, 제 1 처리 조건은 에칭 비율을 감소시키며 하부 실리콘 이산화물층에 대한 폴리실리콘층의 에칭을 위한 에칭 선택성 비율을 증가 또는 최대로 하기 위해 제 2 처리 조건으로 변경된다. 제 2 처리 조건은 나머지 300Å부분의 폴리실리콘층을 에칭하기 위해 120sccm HBr과 10sccm He-02(처리 가스에 첨가된 임의의 Cl2 또는 CF4없이)을 포함하는 제 2 처리 가스를 사용한다. 챔버의 압력은 훨씬 높은 압력인 55mTorr에서, 익덕터 소스 레벨은 900와트에서, RF 바이어스 전력 레벨은 70와트에서, 약 12의 바이어스 전력에 대한 소스의 높은 비율을 제공하기 위해 유지된다. 제 2 처리 가스 조성물은 CF4 클리닝 가스가 없으며, 약 12:1의 하부 실리콘 이산화물에 대한 폴리실리콘의 에칭에 대한 에칭 선택성 비율을 제공하며, 이는 클리닝 및 에칭 가스를 모두 포함하는 제 1 처리 가스로부터 획득된 에칭 선택성 비율보다 두배 이상이다. 이는 기판의 하층의 손상을 상당히 감소시킨다.
다른 예에서, 폴리크리스탈린을 포함하는 기판은 Cl2, N2, CF4, 및 선택적으로 O2를 포함하는 제 1 처리 가스의 플라즈마를 사용하여 제 1 단계에서 에칭된다. 바람직하게, Cl2, N2, CF4의 용적 흐름율은 챔버를 클리닝하기 위한 개별 클리닝 단계를 수행하지 않으면서 챔버의 적어도 2000 기판을 에칭함으로써 생성된 모든 에칭 잔여물을 실질적으로 제거하기 위해 선택된다. CF4:(Cl2+N2)의 용적 흐름율은 약 1:20내지 약 1:1에서 보다 바람직하다. 이 후, 제 2 단계의 공정에서 클리닝 가스의 흐름이 종결되며, 기판은 클리닝 가스가 없는 에칭 가스로 처리된다. CF4가스는 또한 SF6또는 NF3로 대체될 수 있다.
여러 단계의 공정은 또한 기판에서 서로 다른 층을 에칭하는데 사용될 수 있으며, 클리닝 가스는 챔버 표면에 증착된 에칭 잔여물을 제거하기 위해 적어도 하나의 단계에서 주입된다. 실리콘 실리사이드의 제 1 층은 예를 들어 클로린 및 나이트로겐, 및 선택적으로 산소의 혼합물과 같은 클로린 함유 가스를 포함하는 제 1 처리 가스를 사용하여 에칭된다. 바람직하게, 나이트로겐에 대한 클로린의 용적 흐름율은 약 1:3내지 약 1:1, 보다 바람직하게는 약 2:3이다. 챔버의 압력은 1내지 10mTorr에서, 인덕터 코일 소스 전력 레벨은 200내지 800 와트에서, 처리 전극 RF 바이어스 전력 레벨은 20내지 120와트에서 유지된다.
제 2 에칭 단계에서, 제 2 처리 가스는 챔버로 주입되며 플라즈마는 텅스텐 실리사이드층이 완전히 에칭된후 텅스텐 실리사이드층 아래에서 폴리실리콘층과 같은 제 2 층을 에칭하기 위해 형성된다. 폴리실리콘층은 하나 이상의 에칭 공정 단계에서 에칭될 수 있으며, 에칭 가스 조성물은 각 에칭 단계동안 변화된다. 적당한 제 2 처리 조성물은 20 내지 120sccm의 흐름율의 Cl2, 약 80내지 240sccm의 HBr, 약 2내지 10sccm의 He-O2를 포함한다. 챔버 압력은 약 25 내지 200mTorr이다. 인덕터 안테나에 인가된 소스 전류의 전력 레벨은 약 200내지 800와트이며, 처리 전극에 인가된 전압의 바이어스 RF 전력 레벨은 약 20내지 120와트이다.
하나 이상의 제 1 및 제 2 단계에서, 클리닝 가스는 임의의 단계에서 형성된 에칭 잔여물이 에칭 공정동안 실질적으로 완전히 제거되는 용적률의 에칭 가스에 첨가된다. 제 1 및 제 2 단계에서 형성된 에칭 잔여물은 전형적으로 할로겐, 카본, 하이드로겐, 및 산소를 포함하는 중합 유기화합물을 포함한다. 실리콘-함유층이 에칭될 때, 에칭 잔여물은 기판상의 폴리실리콘층과 텅스텐 실리사이드층이 모두 에칭되는 동안 형성된 대부분의 실리콘 이산화 화합물을 포함한다. 그러나, 에칭 잔여물의 조성물은 제 1층에서 제 2 층으로 변경될 수 있으며, 제 1 에칭 잔여물은 W와 Si종을 다수 포함하며, 제 2 에칭 가스 잔여물은 Si와 O종을 다수 포함한다. 그러므로 클리닝 가스는 텅스텐 실리사이드층이 에칭되는 제 1 에칭 단계에서 형성된 제 1 에칭 잔여물과 폴리실리콘층이 에칭되는 제 1 에칭 단계동안 형성된 제 2 에칭 잔여물을 제거하기에 적당한 용적율에서 제 1 및 제 2 단계에서 처리가스에 첨가된다. 에칭 가스에 대한 클리닝 가스의 적당한 용적율은 약 1:10내지 약 2:3이다. 예를 들어, 약 80sccm Cl2와 40sccm N2의 제 1 에칭 가스의 흐름율은 약 2:3의 제 1 에칭 가스에 대한 클리닝 가스의 용적율을 제공하기 위해 80sccm이 흐름율에서 CF4를 포함하는 클리닝 가스에 첨가된다.
클리닝 공정은 2000 기판의 처리시 형성된 모든 에칭 잔여물을 실질적으로 제거한다. 일 실시예에서, 1000Å의 SiO2의 하부층을 가지는 실리콘 웨이퍼의 3000이상의 기판은 3000Å의 폴리실리콘과 3000Å의 WSix를 포함하는 폴리사이드층으로 커버링되며, 에칭 챔버에서 에칭되고, 에칭된 형상, 에칭 비율, 및 에칭 선택성 비율의 특성이 선택된 웨이퍼에 대해 측정된다. 텅스텐 실리사이드층은 80sccm Cl2와 40sccm N2의 에칭 가스와 80sccm CF4의 클리닝 가스를 포함하는 제 1 처리 가스를 사용하여 에칭되며, 약 2:3의 에칭가스에 대한 클리닝 가스의 용적 흐름율을 제공한다. 챔버의 압력은 4mTorr에서, 인덕터 코일 소스 전력 레벨은 600와트에서 RF 바이어스 전력 레벨은 60와트에서 유지된다.
기판상의 폴리실리콘층은 기판상의 실리콘 이산화물 하부층을 에칭하지 않고 에칭 공정을 정지시키기 위해 메인 에칭 단계와 "오버 에칭" 단계를 포함하는 2 단계에서 에칭된다. 메인 에칭 단계는 폴리실리콘층이 완전히 에칭되며 오버에칭 단계가 폴리실리콘층의 잔여물을 에칭하도록 실행되기 바로전에 엔드포인트 검출 시스템에 의해 정지된다. 메인 에칭 단계에서, 폴리실리콘층은 60sccm Cl2, 140sccm HBr, 및 5 sccm He-O2를 포함하는 에칭 가스를 사용하여 에칭된다. 인덕터 안테나에 인가된 소스 전류의 전력 레벨은 480와트에서, 처리 전극에 인가된 전압의 바이어스 RF 전력 레벨은 64와트에서 유지된다.
오버에칭 단계에서, 에칭 가스의 할로겐 함유량은 느리고 보다 제어가능한 에칭 비율을 획득하기 위해 감소된다. 예를 들어, 오버 에칭된 처리 단계에서, 에칭 가스는 90sccm HBr 및 5sccm He-O2를 포함하며, 챔버압력은 50mTorr에서 소스 전력 레벨은 4000와트에서, RF 바이어스 전력 레벨은 140와트에서 에칭 비율을 감소시기키 위해 유지된다. 현재의 에칭과 동시의 에칭 공정은 여전히 높은 3000Å/min의 텅스텐 실리사이드 에칭비율, 약 2350Å/min의 폴리실리콘 에칭 비율, 및 챔버를 클리닝하지 않으면서 3000기판을 연속적으로 에칭하는 동안 에칭 비율에서의 적은 가변성을 제공한다. 또한 텅스텐 실리사이드 에칭 비율은 8%이하로 변경되며, 폴리실리콘 에칭 비율은 2%이하로 변경된다.
본 발명의 에칭 공정은 에칭 잔여물층의 두께 또는 화학적 스토이키오메트리(stoichiometry)와 무관하게 에칭 공정동안 챔버에 증착된 에칭 잔여물을 동시에 제거하는 반면 균일하게 기판을 에칭한다. 종래 기술의 에칭 공정은 에칭 비율과 에칭 선택성 비율의 가변성 및 웨이퍼를 처리한후 챔버의 표면상의 에칭 잔여 증착으로부터 초래된 높은 파티클 오염 정도로 인해, 단지 200 내지 300 웨이퍼가 처리된 후 챔버의 클리닝 및 컨디셔닝이 요구된다. 또한, 종래기술의 클리닝 공정, 특히 오퍼레이터에 의해 수행된 클리닝 공정은 종종 챔버 표면에 형성된 에칭 잔여 증착물을 제거 및 균일하게 클리닝하는데 실패하며, 상기의 에칭 잔여물의 증가는 기판이 떨어지고 또한 오염되는 것을 초래한다.
반대로, 본 발명의 처리공정은 오염을 감소시키고 기판 수율을 증가시키기 위해 모든 챔버의 표면을 가로질러 형성된 에칭 잔여물을 제거한다. 클리닝 가스는 또한 에칭 챔버의 감소된 에너지 레벨의 플라즈마로 인해 통상적인 플라즈마 클리닝 단계와 비교하여 챔버에 대해 훨씬 약한 부식성 손상을 야기시킨다. 이는 잔여 증착물을 제거하는데 사용되는 고전력 플라즈마가 챔버 표면과 성분의 광범위한 부식을 초래하는 종래기술의 공정에서는 달성하기 어렵다. 챔버 성분의 교체하는 요구를 감소시킴으로써, 챔버를 동작시키는 비용과 기판에 대한 비용이 상당히 감소된다. 게다가, 활성 클리닝 가스는 챔버 벽과 성분을 습식 클리닝하기 위해 처리과정을 중단하는 대신 기판을 에칭하는 동안 챔버를 효과적으로 클리닝하는데 사용될 수 있으며, 따라서 에칭 효율을 증가시키고 또한 기판에 대한 비용을 감소시킨다. 에칭 및 클리닝 공정은 적어도 2의 인자에 의해 챔버 수명을 증가시키며, 또한 기판에 대한 플레이크-오프(flake-off)된 에칭 부산물의 증착을 감소시킴으로서 기판 수율을 증가시킬 수 있다.
본 발명은 일 실시예를 참조로 기술되었지만, 다른 실시예가 가능하다. 예를 들어, 본 발명의 처리 및 클리닝 공정은 당업자에 명백하듯이 다른 응용을 위한 처리 챔버로 사용될 수 있다. 예를 들어, 상기 공정이 스퍼터링 챔버, 이온 임플랜테이션 챔버 또는 증착 챔버를 처리하기 위해 적용될 수 있으며, 또한 다른 클리닝 공정의 조합에 적용될 수 있다. 그러므로 청구범위의 사상 및 영역은 본 명세서의 실시예에 제한되지 않는다.

Claims (26)

  1. 처리 챔버에서 기판을 처리하는 방법에 있어서,
    (a) 처리 챔버에 층을 가지는 기판을 위치시키는 단계;
    (b) 상기 기판에서 층을 처리하기 위해 처리 챔버에서 하나 이상의 가스 조성과 흐름률, 가스 에너자이저의 동작 전력 레벨, 가스 압력, 및 기판 온도를 포함하는 처리 조건을 유지하는 단계,
    (c) 상기 단계(b)동안, 측정된 파형 패턴을 획득하기 위해 기판에서 층으로부터 반사된 광빔의 강도를 시간에 대해 측정하는 단계; 및
    (d) 상기 측정된 파형 패턴과 소정의 특성 파형 패턴을 비교하는 단계를 포함하며, 상기 두개의 파형 패턴이 실질적으로 동일할 때, 전체층이 완전히 처리되기 전에 기판에서 층의 처리율을 변경시키도록 처리 조건을 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  2. 제 2 항에 있어서, 상기 기판으로 파장을 가지는 입사광빔을 유도하는 초기 단계, 상기 기판으로부터 반사된 반사광빔을 측정하는 단계, 및 상기 기판에서 층의 특정 처리 단계에서 발생하는 반사광빔의 특성 파형 패턴을 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  3. 제 1 항에 있어서, 상기 단계(c)는 기판에서 층으로부터 반사된 광빔의 단일 파장만을 실질적으로 측정하는 단계를 포함하는 것을 특징으로 하는 방법.
  4. 제 3 항에 있어서, 상기 단계(c)는 기판에서 비분극된 광만을 실질적으로 포함하는 입사광빔을 유도하는 단계를 포함하는 것을 특징으로 하는 방법.
  5. 제 1 항에 있어서, 상기 특성 파형 패턴은 반사된 파형 패턴에서 층의 처리 단계의 종료에 해당하는 터미널 피크 또는 딥의 바로 이전에 발생하는 반복가능한 파형 오실레이션을 포함하는 것을 특징으로 하는 방법.
  6. 제 1 항에 있어서, 상기 처리 조건의 변화는 처리 챔버의 표면을 클리닝하기에 충분히 높은 체적비인 클리닝 가스를 추가함으로써 처리 가스의 조성물을 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  7. 하부층을 실질적으로 에칭 또는 손상시키지 않으면서 기판에서 층을 에칭하는 방법에 있어서,
    (a) 기판에서 층을 에칭하기 위해 처리 영역에 기판을 위치시키며, 상기 처리 영역에서 제 1 처리 조건을 유지시키는 단계;
    (b) 반사된 광빔을 형성하기 위해 층으로 입사광빔을 직진시킴으로써 기판에서 전체층을 에칭하기 전에 에칭 엔드포인트를 검출하며, 측정된 파형 패턴을 획득하기 위해 시간에 대해 반사된 광빔의 강도를 측정하며, 에칭 처리과정의 엔드포인트를 결정하기 위해 상기 측정된 파장 패턴과 소정의 특성 파형 패턴을 비교하는 단계; 및
    (c) 에칭 포인트에서, 전체층이 완전히 에칭되기전에, 층의 에칭 비율을 변경시키거나 하부층에 대해 층을 에칭하는 에칭 선택성 비율을 변경시키기 위해 제 2 처리 조건으로 제 1 처리 조건을 변경시키는 단계를 포함하는 것을 특징으로 하는 방법.
  8. 제 7 항에 있어서, 테스트 기판을 에칭하는 동안 테스트 기판에서 층으로 입사광빔을 직진시키는 단계, 반사된 광빔의 강도를 측정하는 단계, 및 에칭 처리의 특정 단계에서 획득된 반사광빔의 특성 파형 패턴을 결정하는 단계를 더 포함하는 것을 특징으로 하는 방법.
  9. 제 8 항에 있어서, 상기 특성 파형 패턴은 반사된 파형 패턴에서 층의 에칭의 종료 단계에 해당하는 터미널 피크 또는 딥의 바로 이전에서 발생하는 반복가능한 파형 오실레이션을 포함하는 것을 특징으로 하는 방법.
  10. 제 7 항에 있어서, 상기 제 1 처리 조건은 기판의 에칭동안 챔버 표면에 형성된 에칭 잔여물을 클리닝하기 위한 클리닝 가스 및 에칭을 위한 에칭 가스를 포함하는 제 1 처리 가스를 제공하는 단계를 포함하며, 상기 에칭 가스에 대한 클리닝 가스의 용적 흐름율은 에칭 잔여물이 에칭 처리의 종료시에 챔버 표면으로부터 제거되도록 선택되며, 제 2 처리 조건은 클리닝 가스가 실질적으로 결여된 에칭 가스를 포함하는 제 2 처리 가스를 제공하는 단계를 포함하는 것을 특징으로 하는 방법.
  11. 제 10 항에 있어서, 상기 에칭 가스는 하나 이상의 Cl2, HBr, N2, O2, 또는 He-O2를 포함하며, 클리닝 가스는 하나 이상의 NF3, CF4, 또는 SF6를 포함하는 것을 특징으로 하는 방법.
  12. 제 11 항에 있어서, 상기 클리닝 가스의 용적 흐름율은 에칭 챔버를 클리닝하기 위한 개별 클리닝 단계를 실행하지 않고 챔버에서 적어도 2000기판의 에칭동안 형성된 에칭 잔여물을 제거하기 위해 선택되는 것을 특징으로 하는 방법.
  13. 제 12 항에 있어서, 에칭 가스에 대한 클리닝 가스의 용적 흐름률은 약 1:20내지 약 1:1인 것을 특징으로 하는 방법.
  14. 하부층을 에칭하거나 손상시키지 않고 실질적으로 기판상의 층을 에칭하는 방법에 있어서,
    (a) 처리 영역에 기판을 위치시키는 단계;
    (b) 기판상의 층을 에칭하기 위해 에칭 가스와 클리닝 가스를 포함하는 에너자이징된 처리 가스를 상기 처리 영역에 제공하는 단계;
    (c) 기판에서 전체층을 에칭하기 바로 이전에 에칭 엔드포인트를 검출하는 단계; 및
    (d) 하부층을 손상시키지 않고 실질적으로 층의 나머지 부분을 에칭하기 위해 클리닝 가스를 제거하도록 처리 가스의 조성물을 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  15. 제 14 항에 있어서, 상기 에칭 가스는 하나 이상의 Cl2, HBr, N2, O2, 또는 He-O2를 포함하며, 클리닝 가스는 하나 이상의 NF3, CF4, 또는 SF6를 포함하는 것을 특징으로 하는 방법.
  16. 제 15 항에 있어서, 에칭 가스에 대한 클리닝 가스의 용적 흐름율은 에칭 챔버를 클리닝하기 위한 개별 클리닝 단계를 실행하지 않고 챔버에서 적어도 2000 기판의 에칭동안 형성된 에칭 잔여물을 제거하기 위해 선택되는 것을 특징으로 하는 방법.
  17. 제 16 항에 있어서, 에치이 가스에 대한 클리닝 가스의 용적 흐름율은 약 1:20 내지 약 1:1인 것을 특징으로 하는 방법.
  18. 제 14 항에 있어서, 상기 에칭 엔드포인트는:
    (1) 충분한 두께의 층이 에칭된후 반사광빔을 형성하는 입사광빔을 기판상의 층으로 직진시키는 단계;
    (2) 측정된 파형 패턴을 얻기위해 시간에 대해 반사광빔의 강도를 측정하는 단계; 및
    (3) 에칭 엔드포인트를 결정하기 위해 상기 측정된 파형 패턴과 소정의 특성파형 패턴을 비교하는 단계에 의해 검출되는 것을 특징으로 하는 방법.
  19. 기판을 에칭하는 방법에 있어서,
    (a) 처리 영역에 기판을 위치시키고 기판상의 층을 에칭하기 위해 처리 조건을 유지시키는 단계;
    (b) 충분한 두께의 층이 에칭된 후 반사광빔을 제공하는 입사광빔을 기판상의 층에 직진시키는 단계; 및
    (c) 측정된 파형 패턴을 획득하기 위해 반사광빔의 강도를 측정하며, 에칭 처리의 엔드포인트를 결정하기 위해 측정된 파형 패턴과 소정의 특성 파형 패턴을 비교하며, 에칭 엔드포인트에 이를때 전체층이 에칭되기 전에 층의 에칭 비율을 변화시키거나 그 에칭 선택성 비율을 변화시키기 위해 제 2 처리 조건으로 제 1 처리 조건을 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  20. 제 19 항에 있어서, 제 1 처리 조건은 에칭 처리동안 챔버 표면에 증착된 에칭 잔여물을 클리닝하는 클리닝 가스와 기판을 에칭하는 에칭 가스를 포함하는 제 1 처리 가스를 처리 영역에 제공하는 단계를 포함하며, 에칭 가스에 대한 클리닝 가스의 용적 흐름율은 에칭 잔여물이 에칭 처리의 종료시에 챔버의 표면으로부터 실질적으로 완전히 제거되도록 선택되며, 제 2 처리 조건은 클리닝 가스가 실질적으로 결여된 에칭 가스를 포함하는 제 2 처리 가스를 처리 영역에 제공하는 단계를 포함하는 것을 특징으로 하는 방법.
  21. 제 20 항에 있어서, 상기 에칭 가스는 하나 이상의 Cl2, HBr, N2, O2, 또는 He-O2를 포함하며, 클리닝 가스는 하나 이상의 NF3, CF4, 또는 SF6를 포함하는 것을 특징으로 하는 방법.
  22. 처리 챔버를 클리닝하는 것과 동시에 기판을 처리하는 방법에 있어서,
    (a) 처리 챔버에 층을 가지는 기판을 위치시키는 단계;
    (b) 일 처리 단계에서, 기판상의 층을 에칭하기 위해 처리 챔버로 에너자이징된 처리 가스를 제공하는 단계;
    (c) 다른 처리 단계에서, 상기 단계(b) 이전 또는 이후에 실행되어, 기판상의 층을 에칭하기 위해 처리 챔버로 다른 에너자이징된 처리 가스를 제공하는 단계; 및
    (d) 적어도 하나의 처리 단계동안, 측정된 파형 패턴을 결정하기 위해 기판상의 층으로부터 반사된 광빔의 강도를 측정하며, 측정된 파형 패턴과 소정의 특성 파형 패턴을 비교하며, 측정된 파형 패턴이 소정의 특성 파형 패턴과 실질적으로 동일할 때 일 처리 단계로부터 다른 처리 단계로 변화시키며, 상기 처리 조건의 변화는 처리 챔버 표면에 증착된 에칭 잔여물을 제거하기에 충분히 큰 체적율에서 에너자이징된 처리 가스에 클리닝 가스를 추가하는 단계를 포함하는 것을 특징으로 하는 방법.
  23. 제 22 항에 있어서, 상기 클리닝 가스는 하나 이상의 NF3, CF4, 또는 SF6를 포함하는 것을 특징으로 하는 방법.
  24. 기판을 에칭하는 것과 동시에 에칭 챔버를 클리닝하는 방법에 있어서,
    (a) 에칭 챔버에 단일 실리콘 또는 실리콘 화합물을 포함하는 실리콘 함유층을 포함하는 기판을 위치시키는 단계;
    (b) 기판에서 실리콘 함유층을 에칭하며 동시에 챔버 표면에 형성된 에칭 잔여물을 클리닝하기 위해 에칭 챔버에 에너자이징된 처리 가스를 제공하는 단계를 포함하는데, 상기 에너자이징된 처리 가스는 Cl2, HBr, N2, O2, 또는 He-O2로 구성된 그룹으로부터 선택된 에칭 가스 및 NF3, CF4, 또는 SF6로 구성된 그룹으로부터 선택된 클리닝 가스를 포함하며, 클리닝 가스에 대한 에칭 가스의 용적 흐름율은 에칭 처리의 종료시에 챔버 표면에 형성된 에칭 잔여물을 제거하도록 선택되며;
    (c) 상기 단계(b)동안, 측정된 파형 패턴을 결정하기 위해 기판에서 실리콘 함유층으로부터 반사된 광빔의 강도를 측정하는 단계; 및
    (d) 측정된 파형 패턴이 소정의 특성 파형 패턴과 실질적으로 유사할 때 클리닝 가스를 제거하도록 처리 조건을 변화시키는 단계를 포함하는 것을 특징으로 하는 방법.
  25. 제 24 항에 있어서, 상기 단계(b)에서 처리 가스는 2000 기판의 에칭 사이사이에서 챔버를 클리닝하기 위해 개별 클리닝 단계를 실행하지 않으면서 챔버의 적어도 2000 기판을 에칭하는 동안 형성된 실질적으로 모든 에칭 잔여물을 제거하도록 선택된 용적 흐름율에서 Cl2, N2, 및 CF4를 포함하는 것을 특징으로 하는 방법.
  26. 제 25 항에 있어서, 상기 CF4:(Cl2+N2)의 용적 흐름율은 약 1:20 내지 약 1:1인 것을 특징으로 하는 방법.
KR1020007011542A 1998-04-17 1999-04-02 반도체 공정을 위한 개선된 엔드포인트 검출 방법 및 장치 KR20010042798A (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US09/062,520 1998-04-17
US09/062,520 US6081334A (en) 1998-04-17 1998-04-17 Endpoint detection for semiconductor processes
PCT/US1999/007372 WO1999054926A1 (en) 1998-04-17 1999-04-02 Improved endpoint detection for semiconductor processes

Publications (1)

Publication Number Publication Date
KR20010042798A true KR20010042798A (ko) 2001-05-25

Family

ID=22043016

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020007011542A KR20010042798A (ko) 1998-04-17 1999-04-02 반도체 공정을 위한 개선된 엔드포인트 검출 방법 및 장치

Country Status (6)

Country Link
US (2) US6081334A (ko)
EP (1) EP1072056A1 (ko)
JP (1) JP2002512447A (ko)
KR (1) KR20010042798A (ko)
TW (1) TW407328B (ko)
WO (1) WO1999054926A1 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100806983B1 (ko) * 2000-03-16 2008-02-25 어플라이드 머티어리얼스, 인코포레이티드 반도체 웨이퍼 처리 시스템용 샤워헤드, 상기 샤워헤드용 단일편 가스 분배 면판 및 화학 증기 증착 반응기

Families Citing this family (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6129807A (en) * 1997-10-06 2000-10-10 Applied Materials, Inc. Apparatus for monitoring processing of a substrate
US6859278B1 (en) 2001-01-16 2005-02-22 J.A. Woollam Co. Inc. Multi-AOI-system for easy changing angles-of-incidence in ellipsometer, polarimeter and reflectometer systems
US7265838B1 (en) 1998-09-29 2007-09-04 J.A. Woollam Co., Inc. Method of calibrating effects of multi-AOI-system for easy changing angles-of-incidence in ellipsometers and the like
US6395563B1 (en) * 1998-12-28 2002-05-28 Matsushita Electric Industrial Co., Ltd. Device for manufacturing semiconductor device and method of manufacturing the same
US6049220A (en) 1998-06-10 2000-04-11 Boxer Cross Incorporated Apparatus and method for evaluating a wafer of semiconductor material
FR2779825B1 (fr) * 1998-06-16 2000-09-01 Centre Nat Rech Scient Procede et dispositif de commande de la fabrication d'un composant en couche mince a partir d'une dissociation de gaz
EP1095264A1 (en) * 1998-07-11 2001-05-02 Vorgem Limited Improved process monitor
JP4567828B2 (ja) * 1999-09-14 2010-10-20 東京エレクトロン株式会社 終点検出方法
US6794294B1 (en) * 1999-11-09 2004-09-21 Koninklijke Philips Electronics N.V. Etch process that resists notching at electrode bottom
FR2801422B1 (fr) * 1999-11-23 2002-02-22 Mhs Procede et dispositif de controle en temps reel de l'epaisseur d'une couche d'un circuit integre
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
US6605543B1 (en) * 1999-12-30 2003-08-12 Koninklijke Philips Electronics N.V. Process to control etch profiles in dual-implanted silicon films
US6300251B1 (en) * 2000-02-10 2001-10-09 Chartered Semiconductor Manufacturing Ltd. Repeatable end point method for anisotropic etch of inorganic buried anti-reflective coating layer over silicon
JP2003523625A (ja) * 2000-02-18 2003-08-05 アプライド マテリアルズ インコーポレイテッド シリコン含有材料をエッチングするための自浄方法
US6812047B1 (en) 2000-03-08 2004-11-02 Boxer Cross, Inc. Evaluating a geometric or material property of a multilayered structure
US7872751B2 (en) * 2000-03-21 2011-01-18 J.A. Woollam Co., Inc. Fast sample height, AOI and POI alignment in mapping ellipsometer or the like
US7277171B1 (en) 2001-01-16 2007-10-02 J.A. Woollan Co., Inc. Flying mobile on-board ellipsometer, polarimeter, reflectometer and the like systems
US8436994B2 (en) * 2000-03-21 2013-05-07 J.A. Woollam Co., Inc Fast sample height, AOI and POI alignment in mapping ellipsometer or the like
US7746471B1 (en) 2000-03-21 2010-06-29 J.A Woollam Co., Inc. Flying mobile on-board ellipsometer, polarimeter, reflectometer and the like systems
US6544887B1 (en) * 2000-03-31 2003-04-08 Lam Research Corporation Polycide etch process
US6824813B1 (en) * 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
JP3682207B2 (ja) * 2000-06-12 2005-08-10 株式会社東芝 プラズマ処理方法
TW492106B (en) * 2000-06-20 2002-06-21 Hitachi Ltd Inspection method for thickness of film to be processed using luminous beam-splitter and method of film processing
AU2001280980A1 (en) * 2000-08-01 2002-02-13 Board Of Regents, The University Of Texas System Methods for high-precision gap and orientation sensing between a transparent template and substrate for imprint lithography
FR2812941B1 (fr) * 2000-08-10 2002-10-11 Air Liquide Procede de controle en temps reel de l'elaboration d'une structure en couches minces par mesure elipsometrique
US6831742B1 (en) * 2000-10-23 2004-12-14 Applied Materials, Inc Monitoring substrate processing using reflected radiation
WO2002035586A2 (en) * 2000-10-23 2002-05-02 Applied Materials, Inc. Monitoring substrate processing using reflected radiation
US6900900B2 (en) * 2000-11-16 2005-05-31 Process Diagnostics, Inc. Apparatus and method for enabling high resolution film thickness and thickness-uniformity measurements
US7505134B1 (en) 2001-01-16 2009-03-17 J.A. Woollam Co., Inc Automated ellipsometer and the like systems
US7746472B1 (en) 2001-01-16 2010-06-29 J.A. Woollam Co., Inc. Automated ellipsometer and the like systems
US6501555B1 (en) * 2001-02-01 2002-12-31 Advanced Micro Devices, Inc. Optical technique to detect etch process termination
JP4213871B2 (ja) * 2001-02-01 2009-01-21 株式会社日立製作所 半導体装置の製造方法
US6911349B2 (en) * 2001-02-16 2005-06-28 Boxer Cross Inc. Evaluating sidewall coverage in a semiconductor wafer
US6635393B2 (en) * 2001-03-23 2003-10-21 Numerical Technologies, Inc. Blank for alternating PSM photomask with charge dissipation layer
US6563578B2 (en) * 2001-04-02 2003-05-13 Advanced Micro Devices, Inc. In-situ thickness measurement for use in semiconductor processing
US6989900B1 (en) 2001-04-02 2006-01-24 Advanced Micro Devices, Inc. Method of measuring implant profiles using scatterometric techniques
US6602723B1 (en) 2001-04-02 2003-08-05 Advanced Micro Devices, Inc. Method of integrating scatterometry metrology structures directly into die design
US6660542B1 (en) 2001-04-06 2003-12-09 Advanced Micro Devices, Inc. Method of controlling stepper process parameters based upon optical properties of incoming process layers, and system for accomplishing same
US6618149B1 (en) 2001-04-06 2003-09-09 Advanced Micro Devices, Inc. Method of identifying film stacks based upon optical properties
US6491569B2 (en) 2001-04-19 2002-12-10 Speedfam-Ipec Corporation Method and apparatus for using optical reflection data to obtain a continuous predictive signal during CMP
US6676482B2 (en) 2001-04-20 2004-01-13 Speedfam-Ipec Corporation Learning method and apparatus for predictive determination of endpoint during chemical mechanical planarization using sparse sampling
US20030005943A1 (en) * 2001-05-04 2003-01-09 Lam Research Corporation High pressure wafer-less auto clean for etch applications
US6433871B1 (en) 2001-05-25 2002-08-13 Advanced Micron Devices, Inc. Method of using scatterometry measurements to determine and control gate electrode profiles
US6582863B1 (en) 2001-06-11 2003-06-24 Advanced Micro Devices, Inc. Method of controlling photolithography processes based upon scatterometric measurements of sub-nominal grating structures
US6529282B1 (en) 2001-06-11 2003-03-04 Advanced Micro Devices, Inc. Method of controlling photolithography processes based upon scatterometric measurements of photoresist thickness, and system for accomplishing same
CN1287430C (zh) * 2001-06-15 2006-11-29 东京毅力科创株式会社 干蚀刻方法
US6707562B1 (en) 2001-07-02 2004-03-16 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control photoresist etch process
US6741353B1 (en) * 2001-07-20 2004-05-25 J.A. Woollam Co., Inc. Spectroscopic ellipsometry analysis of object coatings during deposition
US6728591B1 (en) * 2001-08-01 2004-04-27 Advanced Micro Devices, Inc. Method and apparatus for run-to-run control of trench profiles
DE10142318C1 (de) * 2001-08-30 2003-01-30 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
DE10142317B4 (de) * 2001-08-30 2010-07-01 Advanced Micro Devices, Inc., Sunnyvale Vorrichtung zur Bestimmung eines Überlagerungsfehlers und kritischer Dimensionen in einer Halbleiterstruktur mittels Streuungsmessung
DE10142316A1 (de) * 2001-08-30 2003-04-17 Advanced Micro Devices Inc Halbleiterstruktur und Verfahren zur Bestimmung kritischer Dimensionen und Überlagerungsfehler
JP3998445B2 (ja) * 2001-08-31 2007-10-24 株式会社東芝 半導体装置の製造方法、半導体装置の製造装置、半導体装置の製造システム、および半導体製造装置のクリーニング方法
US6903826B2 (en) * 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
US6888639B2 (en) * 2001-09-24 2005-05-03 Applied Materials, Inc. In-situ film thickness measurement using spectral interference at grazing incidence
US6635573B2 (en) 2001-10-29 2003-10-21 Applied Materials, Inc Method of detecting an endpoint during etching of a material within a recess
US7204934B1 (en) * 2001-10-31 2007-04-17 Lam Research Corporation Method for planarization etch with in-situ monitoring by interferometry prior to recess etch
US6977184B1 (en) * 2001-10-31 2005-12-20 Lam Research Corporation Method and apparatus for nitride spacer etch process implementing in situ interferometry endpoint detection and non-interferometry endpoint monitoring
US6790570B1 (en) 2001-11-08 2004-09-14 Advanced Micro Devices, Inc. Method of using scatterometry measurements to control stepper process parameters
US6716300B2 (en) * 2001-11-29 2004-04-06 Hitachi, Ltd. Emission spectroscopic processing apparatus
US6605484B2 (en) * 2001-11-30 2003-08-12 Axcelis Technologies, Inc. Process for optically erasing charge buildup during fabrication of an integrated circuit
US6767799B2 (en) * 2001-12-28 2004-07-27 Semiconductor Energy Laboratory Co., Ltd. Laser beam irradiation method
US20030133126A1 (en) * 2002-01-17 2003-07-17 Applied Materials, Inc. Spectral reflectance for in-situ film characteristic measurements
US6643008B1 (en) 2002-02-26 2003-11-04 Advanced Micro Devices, Inc. Method of detecting degradation in photolithography processes based upon scatterometric measurements of grating structures, and a device comprising such structures
US6785009B1 (en) 2002-02-28 2004-08-31 Advanced Micro Devices, Inc. Method of using high yielding spectra scatterometry measurements to control semiconductor manufacturing processes, and systems for accomplishing same
US6958814B2 (en) * 2002-03-01 2005-10-25 Applied Materials, Inc. Apparatus and method for measuring a property of a layer in a multilayered structure
US6971791B2 (en) * 2002-03-01 2005-12-06 Boxer Cross, Inc Identifying defects in a conductive structure of a wafer, based on heat transfer therethrough
US6742168B1 (en) 2002-03-19 2004-05-25 Advanced Micro Devices, Inc. Method and structure for calibrating scatterometry-based metrology tool used to measure dimensions of features on a semiconductor device
US6791697B1 (en) 2002-03-21 2004-09-14 Advanced Micro Devices, Inc. Scatterometry structure with embedded ring oscillator, and methods of using same
WO2004001849A2 (en) * 2002-04-30 2003-12-31 Hrl Laboratories, Llc Quartz-based nanoresonators and method of fabricating same
JP2003338662A (ja) * 2002-05-22 2003-11-28 Sharp Corp 発光素子の製造方法およびその方法により製造される発光素子
DE10224164B4 (de) * 2002-05-31 2007-05-10 Advanced Micro Devices, Inc., Sunnyvale Eine zweidimensionale Struktur zum Bestimmen einer Überlagerungsgenauigkeit mittels Streuungsmessung
DE10224162A1 (de) * 2002-05-31 2003-12-18 Advanced Micro Devices Inc Streuungsmesser mit einem internen Kalibriersystem
US20030221708A1 (en) * 2002-06-04 2003-12-04 Chun-Hao Ly Method of cleaning a semiconductor process chamber
DE10227376B4 (de) * 2002-06-20 2005-03-31 Leica Microsystems Jena Gmbh Verfahren zur Bestimmung von Schichtdickenbereichen
US20040018647A1 (en) * 2002-07-02 2004-01-29 Applied Materials, Inc. Method for controlling the extent of notch or undercut in an etched profile using optical reflectometry
US20040018739A1 (en) * 2002-07-26 2004-01-29 Applied Materials, Inc. Methods for etching using building blocks
US7402257B1 (en) * 2002-07-30 2008-07-22 Advanced Micro Devices, Inc. Plasma state monitoring to control etching processes and across-wafer uniformity, and system for performing same
US6849151B2 (en) 2002-08-07 2005-02-01 Michael S. Barnes Monitoring substrate processing by detecting reflectively diffracted light
US20040087042A1 (en) * 2002-08-12 2004-05-06 Bruno Ghyselen Method and apparatus for adjusting the thickness of a layer of semiconductor material
US6908774B2 (en) * 2002-08-12 2005-06-21 S.O. I. Tec Silicon On Insulator Technologies S.A. Method and apparatus for adjusting the thickness of a thin layer of semiconductor material
US7341673B2 (en) 2003-08-12 2008-03-11 Lam Research Corporation Methods and apparatus for in situ substrate temperature monitoring by electromagnetic radiation emission
TWI314762B (en) * 2002-08-13 2009-09-11 Lam Res Corp Method for controlling a recess etch process
US20040040658A1 (en) * 2002-08-29 2004-03-04 Tatehito Usui Semiconductor fabricating apparatus and method and apparatus for determining state of semiconductor fabricating process
US6963393B2 (en) * 2002-09-23 2005-11-08 Applied Materials, Inc. Measurement of lateral diffusion of diffused layers
US6878559B2 (en) * 2002-09-23 2005-04-12 Applied Materials, Inc. Measurement of lateral diffusion of diffused layers
US6939811B2 (en) * 2002-09-25 2005-09-06 Lam Research Corporation Apparatus and method for controlling etch depth
US6623994B1 (en) 2002-09-26 2003-09-23 Advanced Micro Devices, Inc. Method for calibrating optical-based metrology tools
US6972853B1 (en) 2002-09-27 2005-12-06 Advanced Micro Devices, Inc. Methods of calibrating and controlling stepper exposure processes and tools, and system for accomplishing same
US8349241B2 (en) 2002-10-04 2013-01-08 Molecular Imprints, Inc. Method to arrange features on a substrate to replicate features having minimal dimensional variability
US6919279B1 (en) 2002-10-08 2005-07-19 Novellus Systems, Inc. Endpoint detection for high density plasma (HDP) processes
US6908846B2 (en) * 2002-10-24 2005-06-21 Lam Research Corporation Method and apparatus for detecting endpoint during plasma etching of thin films
US6881594B1 (en) 2002-10-28 2005-04-19 Advanced Micro Devices, Inc. Method of using scatterometry for analysis of electromigration, and structures for performing same
US6933158B1 (en) 2002-10-31 2005-08-23 Advanced Micro Devices, Inc. Method of monitoring anneal processes using scatterometry, and system for performing same
TWI240326B (en) * 2002-10-31 2005-09-21 Tokyo Electron Ltd Method and apparatus for determining an etch property using an endpoint signal
US7306696B2 (en) * 2002-11-01 2007-12-11 Applied Materials, Inc. Interferometric endpoint determination in a substrate etching process
EP1418613A1 (en) * 2002-11-08 2004-05-12 Interuniversitair Microelektronica Centrum ( Imec) Etching method for manufacturing a semiconductor device
US6972848B2 (en) * 2003-03-04 2005-12-06 Hitach High-Technologies Corporation Semiconductor fabricating apparatus with function of determining etching processing state
US6930782B1 (en) * 2003-03-28 2005-08-16 Lam Research Corporation End point detection with imaging matching in semiconductor processing
US8257546B2 (en) * 2003-04-11 2012-09-04 Applied Materials, Inc. Method and system for monitoring an etch process
US8766745B1 (en) 2007-07-25 2014-07-01 Hrl Laboratories, Llc Quartz-based disk resonator gyro with ultra-thin conductive outer electrodes and method of making same
US7994877B1 (en) 2008-11-10 2011-08-09 Hrl Laboratories, Llc MEMS-based quartz hybrid filters and a method of making the same
US6859746B1 (en) 2003-05-01 2005-02-22 Advanced Micro Devices, Inc. Methods of using adaptive sampling techniques based upon categorization of process variations, and system for performing same
US6836691B1 (en) 2003-05-01 2004-12-28 Advanced Micro Devices, Inc. Method and apparatus for filtering metrology data based on collection purpose
JP4500510B2 (ja) * 2003-06-05 2010-07-14 東京エレクトロン株式会社 エッチング量検出方法,エッチング方法,およびエッチング装置
JP4694150B2 (ja) * 2003-06-20 2011-06-08 東京エレクトロン株式会社 処理方法及び処理システム
US6905624B2 (en) * 2003-07-07 2005-06-14 Applied Materials, Inc. Interferometric endpoint detection in a substrate etching process
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7446050B2 (en) * 2003-08-04 2008-11-04 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and plasma treatment process to improve a gate profile
JP4467571B2 (ja) * 2003-09-19 2010-05-26 アプライド マテリアルズ インコーポレイテッド 無電解堆積のエンドポイントを検出するための装置および方法
US20050070103A1 (en) * 2003-09-29 2005-03-31 Applied Materials, Inc. Method and apparatus for endpoint detection during an etch process
US7906180B2 (en) 2004-02-27 2011-03-15 Molecular Imprints, Inc. Composition for an etching mask comprising a silicon-containing material
US20050194095A1 (en) * 2004-03-02 2005-09-08 Tatehito Usui Semiconductor production apparatus
US20050241669A1 (en) * 2004-04-29 2005-11-03 Tokyo Electron Limited Method and system of dry cleaning a processing chamber
JP4890746B2 (ja) * 2004-06-14 2012-03-07 株式会社ディスコ ウエーハの加工方法
US7785526B2 (en) * 2004-07-20 2010-08-31 Molecular Imprints, Inc. Imprint alignment method, system, and template
US20060062897A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc Patterned wafer thickness detection system
JP2006128380A (ja) * 2004-10-28 2006-05-18 Toshiba Corp 半導体装置の製造方法および製造装置
WO2006055984A2 (en) * 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US20070134821A1 (en) * 2004-11-22 2007-06-14 Randhir Thakur Cluster tool for advanced front-end processing
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7630067B2 (en) 2004-11-30 2009-12-08 Molecular Imprints, Inc. Interferometric analysis method for the manufacture of nano-scale devices
US20070231421A1 (en) * 2006-04-03 2007-10-04 Molecular Imprints, Inc. Enhanced Multi Channel Alignment
FR2880470B1 (fr) * 2004-12-31 2007-04-20 Cit Alcatel Dispositif et procede pour le controle de la profondeur de gravure lors de la gravure alternee par plasma de substrats semi-conducteurs
US7379189B2 (en) * 2005-02-08 2008-05-27 Tokyo Electron Limited Temperature/thickness measuring apparatus, temperature/thickness measuring method, temperature/thickness measuring system, control system and control method
JP4596968B2 (ja) * 2005-05-11 2010-12-15 株式会社リコー 半導体装置の不良箇所観察のためのシリコン基板加工方法及び不良箇所特定方法
JP2007027478A (ja) * 2005-07-19 2007-02-01 Sharp Corp エッチング方法およびエッチング装置
US7185695B1 (en) * 2005-09-01 2007-03-06 United Technologies Corporation Investment casting pattern manufacture
JP4833687B2 (ja) 2006-02-27 2011-12-07 株式会社日立ハイテクノロジーズ プラズマ処理装置
US7662646B2 (en) * 2006-03-17 2010-02-16 Tokyo Electron Limited Plasma processing method and plasma processing apparatus for performing accurate end point detection
US7754610B2 (en) * 2006-06-02 2010-07-13 Applied Materials, Inc. Process for etching tungsten silicide overlying polysilicon particularly in a flash memory
JP2009543355A (ja) * 2006-07-03 2009-12-03 アプライド マテリアルズ インコーポレイテッド 進歩型フロントエンド処理のためのクラスターツール
US7555824B2 (en) 2006-08-09 2009-07-07 Hrl Laboratories, Llc Method for large scale integration of quartz-based devices
US20080099436A1 (en) * 2006-10-30 2008-05-01 Michael Grimbergen Endpoint detection for photomask etching
US20080176149A1 (en) * 2006-10-30 2008-07-24 Applied Materials, Inc. Endpoint detection for photomask etching
JP2008218898A (ja) * 2007-03-07 2008-09-18 Hitachi High-Technologies Corp プラズマ処理装置
US7884930B2 (en) * 2007-06-14 2011-02-08 Hrl Laboratories, Llc Integrated quartz biological sensor and method
US20100020311A1 (en) * 2007-06-14 2010-01-28 Hrl Laboratories, Llc Integrated quartz biological sensor and method
US10266398B1 (en) 2007-07-25 2019-04-23 Hrl Laboratories, Llc ALD metal coatings for high Q MEMS structures
US7879732B2 (en) * 2007-12-18 2011-02-01 Chartered Semiconductor Manufacturing Ltd. Thin film etching method and semiconductor device fabrication using same
US8151640B1 (en) 2008-02-05 2012-04-10 Hrl Laboratories, Llc MEMS on-chip inertial navigation system with error correction
US7802356B1 (en) 2008-02-21 2010-09-28 Hrl Laboratories, Llc Method of fabricating an ultra thin quartz resonator component
US8009938B2 (en) * 2008-02-29 2011-08-30 Applied Materials, Inc. Advanced process sensing and control using near infrared spectral reflectometry
JP4972594B2 (ja) * 2008-03-26 2012-07-11 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US8231821B2 (en) * 2008-11-04 2012-07-31 Molecular Imprints, Inc. Substrate alignment
US9073169B2 (en) * 2008-11-07 2015-07-07 Applied Materials, Inc. Feedback control of polishing using optical detection of clearance
US8639377B2 (en) * 2008-11-07 2014-01-28 Applied Materials, Inc. Metrology for GST film thickness and phase
US8989890B2 (en) 2008-11-07 2015-03-24 Applied Materials, Inc. GST film thickness monitoring
US8176607B1 (en) 2009-10-08 2012-05-15 Hrl Laboratories, Llc Method of fabricating quartz resonators
KR20110096382A (ko) * 2010-02-22 2011-08-30 삼성모바일디스플레이주식회사 유기 발광 디스플레이 패널의 증착 및 검사 장치와 그것을 이용한 증착 및 검사방법
JP5503391B2 (ja) * 2010-04-19 2014-05-28 シャープ株式会社 窒化物系半導体レーザ素子の製造方法
US8912711B1 (en) 2010-06-22 2014-12-16 Hrl Laboratories, Llc Thermal stress resistant resonator, and a method for fabricating same
WO2012109572A1 (en) * 2011-02-11 2012-08-16 Brookhaven Science Associates, Llc Technique for etching monolayer and multilayer materials
US8908161B2 (en) * 2011-08-25 2014-12-09 Palo Alto Research Center Incorporated Removing aluminum nitride sections
US10283615B2 (en) * 2012-07-02 2019-05-07 Novellus Systems, Inc. Ultrahigh selective polysilicon etch with high throughput
KR101780874B1 (ko) 2012-10-17 2017-09-21 도쿄엘렉트론가부시키가이샤 다변량 분석을 이용한 플라즈마 에칭 종료점 검출
US9250074B1 (en) 2013-04-12 2016-02-02 Hrl Laboratories, Llc Resonator assembly comprising a silicon resonator and a quartz resonator
US9599470B1 (en) 2013-09-11 2017-03-21 Hrl Laboratories, Llc Dielectric high Q MEMS shell gyroscope structure
JP6339816B2 (ja) * 2014-02-10 2018-06-06 株式会社Fuji プラズマ処理判断システム
US9977097B1 (en) 2014-02-21 2018-05-22 Hrl Laboratories, Llc Micro-scale piezoelectric resonating magnetometer
US9991863B1 (en) 2014-04-08 2018-06-05 Hrl Laboratories, Llc Rounded and curved integrated tethers for quartz resonators
JP6366383B2 (ja) * 2014-06-27 2018-08-01 株式会社ディスコ 加工装置
US10308505B1 (en) 2014-08-11 2019-06-04 Hrl Laboratories, Llc Method and apparatus for the monolithic encapsulation of a micro-scale inertial navigation sensor suite
US10031191B1 (en) 2015-01-16 2018-07-24 Hrl Laboratories, Llc Piezoelectric magnetometer capable of sensing a magnetic field in multiple vectors
KR20170002764A (ko) 2015-06-29 2017-01-09 삼성전자주식회사 반도체 소자의 제조 방법
JP6677407B2 (ja) * 2015-10-20 2020-04-08 国立大学法人 和歌山大学 断層構造の観測方法、観測装置、及びコンピュータプログラム
KR20180073700A (ko) 2015-11-16 2018-07-02 도쿄엘렉트론가부시키가이샤 진보된 광학 센서 및 플라즈마 챔버용 방법
US10110198B1 (en) 2015-12-17 2018-10-23 Hrl Laboratories, Llc Integrated quartz MEMS tuning fork resonator/oscillator
US10175307B1 (en) 2016-01-15 2019-01-08 Hrl Laboratories, Llc FM demodulation system for quartz MEMS magnetometer
US20170287791A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10436717B2 (en) 2016-11-18 2019-10-08 Tokyo Electron Limited Compositional optical emission spectroscopy for detection of particle induced arcs in a fabrication process
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
US10896833B2 (en) 2018-05-09 2021-01-19 Applied Materials, Inc. Methods and apparatus for detecting an endpoint of a seasoning process
TW202000993A (zh) * 2018-06-20 2020-01-01 美商維克精密表面處理股份有限公司 凸塊底層金屬蝕刻製程期間使底切最小化之裝置及方法
US10748823B2 (en) 2018-09-27 2020-08-18 International Business Machines Corporation Embedded etch rate reference layer for enhanced etch time precision
SG11202111021UA (en) 2019-05-23 2021-11-29 Tokyo Electron Ltd Optical diagnostics of semiconductor process using hyperspectral imaging
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
US20220406667A1 (en) * 2020-03-11 2022-12-22 Hitachi High-Tech Corporation Plasma processing apparatus and plasma processing method
CN111463142B (zh) * 2020-04-09 2023-03-24 浙江爱旭太阳能科技有限公司 一种高效检测perc铝浆腐蚀性的方法
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
US20230078567A1 (en) * 2021-09-15 2023-03-16 Applied Materials, Inc. Transmission corrected plasma emission using in-situ optical reflectometry

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3612692A (en) * 1968-11-21 1971-10-12 Ibm Dielectric film thickness monitoring and control system and method
US3824017A (en) * 1973-03-26 1974-07-16 Ibm Method of determining the thickness of contiguous thin films on a substrate
JPS5414953B2 (ko) * 1973-04-13 1979-06-11
US3985447A (en) * 1975-08-29 1976-10-12 Bell Telephone Laboratories, Incorporated Measurement of thin films by polarized light
US4147435A (en) * 1977-06-30 1979-04-03 International Business Machines Corporation Interferometric process and apparatus for the measurement of the etch rate of opaque surfaces
US4198261A (en) * 1977-12-05 1980-04-15 Gould Inc. Method for end point detection during plasma etching
US4141780A (en) * 1977-12-19 1979-02-27 Rca Corporation Optically monitoring the thickness of a depositing layer
US4208240A (en) * 1979-01-26 1980-06-17 Gould Inc. Method and apparatus for controlling plasma etching
US4328068A (en) * 1980-07-22 1982-05-04 Rca Corporation Method for end point detection in a plasma etching process
US4317698A (en) * 1980-11-13 1982-03-02 Applied Process Technology, Inc. End point detection in etching wafers and the like
US4367044A (en) * 1980-12-31 1983-01-04 International Business Machines Corp. Situ rate and depth monitor for silicon etching
US4454001A (en) * 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4611919A (en) * 1984-03-09 1986-09-16 Tegal Corporation Process monitor and method thereof
US4618262A (en) * 1984-04-13 1986-10-21 Applied Materials, Inc. Laser interferometer system and method for monitoring and controlling IC processing
DE3600346A1 (de) * 1986-01-08 1987-07-09 Fraunhofer Ges Forschung Verfahren zur abbildenden laserinterferometrie und laserinterferometer zur durchfuehrung des verfahrens
US4847792A (en) * 1987-05-04 1989-07-11 Texas Instruments Incorporated Process and apparatus for detecting aberrations in production process operations
US4861419A (en) * 1987-08-04 1989-08-29 Texas Instruments Incorporated Apparatus and method for production process diagnosis using dynamic time warping
US4846928A (en) * 1987-08-04 1989-07-11 Texas Instruments, Incorporated Process and apparatus for detecting aberrations in production process operations
US5151584A (en) * 1988-07-20 1992-09-29 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US4953982A (en) * 1988-07-20 1990-09-04 Applied Materials, Inc. Method and apparatus for endpoint detection in a semiconductor wafer etching system
US4927485A (en) * 1988-07-28 1990-05-22 Applied Materials, Inc. Laser interferometer system for monitoring and controlling IC processing
US4972072A (en) * 1988-10-03 1990-11-20 Tritec Industries, Inc. System for detecting a film layer on an object
US5131752A (en) * 1990-06-28 1992-07-21 Tamarack Scientific Co., Inc. Method for film thickness endpoint control
JPH04355916A (ja) * 1990-10-12 1992-12-09 Seiko Epson Corp ドライエッチング装置
US5362356A (en) * 1990-12-20 1994-11-08 Lsi Logic Corporation Plasma etching process control
EP0511448A1 (en) * 1991-04-30 1992-11-04 International Business Machines Corporation Method and apparatus for in-situ and on-line monitoring of a trench formation process
US5395769A (en) * 1992-06-26 1995-03-07 International Business Machines Corporation Method for controlling silicon etch depth
US5499733A (en) * 1992-09-17 1996-03-19 Luxtron Corporation Optical techniques of measuring endpoint during the processing of material layers in an optically hostile environment
EP0709877A4 (en) 1993-05-20 1997-11-26 Hitachi Ltd PLASMA TREATMENT PROCESS
US5450205A (en) * 1993-05-28 1995-09-12 Massachusetts Institute Of Technology Apparatus and method for real-time measurement of thin film layer thickness and changes thereof
US5564830A (en) * 1993-06-03 1996-10-15 Fraunhofer Gesellschaft Zur Forderung Der Angewandten Forschung E.V. Method and arrangement for determining the layer-thickness and the substrate temperature during coating
US5503707A (en) * 1993-09-22 1996-04-02 Texas Instruments Incorporated Method and apparatus for process endpoint prediction based on actual thickness measurements
JPH0897189A (ja) 1994-09-29 1996-04-12 Nec Yamagata Ltd 真空処理装置のクリーニング方法
EP0735565B1 (en) * 1995-03-31 1999-06-02 International Business Machines Corporation Method and apparatus for monitoring the dry etching of a dielectric film to a given thickness
JPH0927611A (ja) * 1995-07-11 1997-01-28 Seiko Epson Corp 光検出部を備えた面発光型半導体レーザ及びその製造方法並びにそれを用いたセンサ
US5756400A (en) * 1995-12-08 1998-05-26 Applied Materials, Inc. Method and apparatus for cleaning by-products from plasma chamber surfaces

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100806983B1 (ko) * 2000-03-16 2008-02-25 어플라이드 머티어리얼스, 인코포레이티드 반도체 웨이퍼 처리 시스템용 샤워헤드, 상기 샤워헤드용 단일편 가스 분배 면판 및 화학 증기 증착 반응기

Also Published As

Publication number Publication date
EP1072056A1 (en) 2001-01-31
JP2002512447A (ja) 2002-04-23
US6081334A (en) 2000-06-27
WO1999054926A1 (en) 1999-10-28
US6406924B1 (en) 2002-06-18
TW407328B (en) 2000-10-01

Similar Documents

Publication Publication Date Title
US6081334A (en) Endpoint detection for semiconductor processes
US6905800B1 (en) Etching a substrate in a process zone
US6390019B1 (en) Chamber having improved process monitoring window
US6518206B1 (en) Method for etching an anti-reflective coating
US8257546B2 (en) Method and system for monitoring an etch process
US7279427B2 (en) Damage-free ashing process and system for post low-k etch
US7732340B2 (en) Method for adjusting a critical dimension in a high aspect ratio feature
JP4861987B2 (ja) 膜スタックをエッチングするための方法およびシステム
US20110019201A1 (en) Determining endpoint in a substrate process
US20050277289A1 (en) Line edge roughness reduction for trench etch
JP2001189305A (ja) 無酸素プラズマ処理における終了点の決定方法およびアッシング方法
WO2005091796A2 (en) Method and system for treating a hard mask to improve etch characteristics
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
WO2000060657A1 (en) Endpoint detection in the fabrication of electronic devices
US6635573B2 (en) Method of detecting an endpoint during etching of a material within a recess
KR20020041429A (ko) 게이트 에칭 공정 중에 유전체 반반사 코팅을 원위치에서동시에 제거하는 방법
KR20070051846A (ko) 게이트 스택 에칭을 위한 방법 및 시스템
US20050136681A1 (en) Method and apparatus for removing photoresist from a substrate
Xu et al. Wall-dependent etching characteristics of organic antireflection coating in O 2+ halogen/hydrogen halide plasma
EP1124255A2 (en) Etching process in the fabrication of electronic devices
WO2002025696A9 (en) Reducing deposition of process residues on a surface in a chamber
Guinn et al. Optical emission diagnostics for contact etching in Applied Materials Centura HDP 5300 etcher
KR20010052595A (ko) 전자 장치 제조시의 엔드포인트 검출

Legal Events

Date Code Title Description
WITN Application deemed withdrawn, e.g. because no request for examination was filed or no examination fee was paid